【FPGA-Spirit_V2】小精灵V2开发板初使用

🎉欢迎来到FPGA专栏~小精灵V2开发板初使用


  • ☆* o(≧▽≦)o *☆~我是小夏与酒🍹
  • 博客主页:小夏与酒的博客
  • 🎈该系列文章专栏:FPGA学习之旅
  • 文章作者技术和水平有限,如果文中出现错误,希望大家能指正🙏
  • 📜 欢迎大家关注! ❤️
    FPGQ2

CSDN

🎉 小精灵V2开发板初使用-实例目录

  • 一、开发板介绍
    • 🥝开发板详细介绍
    • 🥝开发板展示
  • 二、基本使用
    • 🍍LED操作
    • 🍍数码管显示
    • 🍍LCD显示
    • 🍍蜂鸣器驱动

遇见未来

一、开发板介绍

该系列文章只是作为学习记录,并无其余用途。所发文章内容是经过自己本身操作和记录整理得来。
本篇文章主要记录小精灵V2(Spirit_V2)开发板初使用过程

【小月电子】大佬博客链接:Moon_3181961725
【FPGA】Altera Cyclone IV EP4CE6入门系统板购买链接:EP4CE6

🥝开发板详细介绍

小精灵V2(Spirit_V2):

Spirit_V2:Altera Cyclone IV EP4CE6

部位对应参数
管脚数144个
I/O管脚电压3.3V
内核电压1.2V
配置芯片EPCS4
时钟源50M

外围器件:
①按键模块
②发光二极管(LED)模块
③有源蜂鸣器
④六位数码管-共阴极数码管
⑤12864/1602液晶接口
其余扩展接口
kfb

🥝开发板展示

开发板+下载器+电源线:
12

注意: 需要在断电的情况下才能插拔下载器,否则容易引起芯片损坏。

二、基本使用

🍍LED操作

LED1
LED2
LED闪烁:

module led_flash(
	Clk50M,
	Rst_n,
	leds
);
	
	input Clk50M;
	input Rst_n;
	output reg [7:0]leds;
	reg [29:0]cnt;
	
	//定时器的设定
	always@(posedge Clk50M or negedge Rst_n)
		if(!Rst_n)
			cnt <= 30'd0;
		else if(cnt == 29'd49_999_999)
			cnt <= 30'd0;
		else 
			cnt <= cnt + 1'b1;
	
	//leds的控制
	always@(posedge Clk50M or negedge Rst_n)
		if(!Rst_n)
			leds <= 8'b11111110;
		else if(cnt == 29'd49_999_999)
			leds <= {leds[6:0],leds[7]};
		else 
			leds <= leds;
		
endmodule

🍍数码管显示

数码管静态显示:
SMG1
数码管静态显示:

module smg_1(
	smg_bit,
	smg_seg
);
	output [5:0]smg_bit;
	output [7:0]smg_seg;

	assign smg_bit = 6'b111110;
	assign smg_seg = 8'hb0;

endmodule

数码管动态扫描:
SMG2
最简单的方式实现数码管动态扫描:

module smg_D(
	Clk50M,
	Rst_n,
	sm_bit,
	sm_seg
);

	input Clk50M;
	input Rst_n;
	
	output reg [5:0]sm_bit;
	output reg [7:0]sm_seg;
	
	reg [24:0]cnt;

	always@(posedge Clk50M or negedge Rst_n)
		if(!Rst_n)
			cnt <= 25'd0;
		else if(cnt == 25'd250_000)
			cnt <= 25'd0;
		else
			cnt <= cnt + 1'b1;

	//数码管动态扫描显示部分
	always @(posedge Clk50M)   					
	begin
		case(cnt[15:13])					//选择扫描显示数据
			3'd0:begin 
					sm_bit <= 6'b111110;	//选择第1个数码管显示
					sm_seg <= 8'hf9;		//显示1
					end
			3'd1:begin 
					sm_bit <= 6'b111101;	//选择第2个数码管显示
					sm_seg <= 8'ha4;		//显示2
					end 
			3'd2:begin 
					sm_bit <= 6'b111011;	//选择第3个数码管显示
					sm_seg <= 8'hb0;		//显示3
					end 
			3'd3:begin 
					sm_bit <= 6'b110111;	//选择第4个数码管显示
					sm_seg <= 8'h99;		//显示4
					end
			3'd4:begin 
					sm_bit <= 6'b101111;	//选择第5个数码管显示
					sm_seg <= 8'h92;		//显示5
					end 
			3'd5:begin 
					sm_bit <= 6'b011111;	//选择第6个数码管显示
					sm_seg <= 8'h82;		//显示6
					end
			default:sm_bit <= 6'b111111;	//不显示
		endcase	
	end
	
endmodule

🍍LCD显示

LCD
LCD显示模块例程:

///
//QQ:3181961725
//TEL:13540738439
//作者:Mr Wang
//模块介绍:LCD1602显示驱动
///
module LCD ( 
	input 					clk		,//系统时钟输入50M
	input						rst_n	,//复位,低电平有效
 	output 	reg	[7:0] dat		,//LCD的8位数据口
 	output  	reg			rs		,//数据命令选择信号,高电平表示数据,低电平表示命令
 	output					rw		,//读写标志,高电平表示读,低电平表示写,该程序我们只对液晶屏进行写操作
 	output					en		//LCD的控制脚
 );
	 reg	[15:0]	counter	; 
	 reg 	[ 5:0] 	current	; 
	 reg 				clkr	; 
	 reg				e		;
	 //定义了LCD状态机需要的状态。
	 parameter  set0 =6'd0; 
	 parameter  set1 =6'd1; 
	 parameter  set2 =6'd2; 
	 parameter  set3 =6'd3; 
	 parameter  set4 =6'd4;   
	 parameter  dat0 =6'd5; 
	 parameter  dat1 =6'd6; 
	 parameter  dat2 =6'd7; 
	 parameter  dat3 =6'd8; 
	 parameter  dat4 =6'd9; 
	 parameter  dat5 =6'd10;
	 parameter  dat6 =6'd11; 
	 parameter  dat7 =6'd12; 
	 parameter  dat8 =6'd13; 
	 parameter  dat9 =6'd14;
	 parameter  dat10=6'd15; 
	 parameter  dat11=6'd16;
	 parameter	dat12=6'd17;  
	 parameter	dat13=6'd18; 
	 parameter	dat14=6'd19; 
	 parameter	dat15=6'd20; 
	 parameter  fini=6'hF1; 
	 
	always @(posedge clk or negedge rst_n)         //da de data_w1 zhong pinlv 
	 begin 
		if(!rst_n)
			begin
				counter<=0;
				clkr<=0;
			end
		else
			begin
				counter<=counter+1; 
				if(counter==16'h000f)  
					clkr=~clkr; 
				else
					;
			end
	end 
	
	always @(posedge clkr or negedge rst_n) 
	begin 
		if(!rst_n)
			begin
				current<=set0;
				dat<=0;
				rs<=0;
				e<=1;
			end
		else
			begin
				case(current) 
				set0:   begin  e<=0;rs<=0; dat<=8'h38; 	current<=set1; 		end //*设置8位格式,2行,5*7*
				set1:   begin  e<=0;rs<=0; dat<=8'h0C; 	current<=set2; 		end //*整体显示,关光标,不闪烁*/  
				set2:   begin  e<=0;rs<=0; dat<=8'h06; 	current<=set3; 		end //*设定输入方式,增量不移位*/  
				set3:   begin  e<=0;rs<=0; dat<=8'h01; 	current<=set4; 		end //*清除显示*/   
				set4:   begin  e<=0;rs<=0; dat<=8'h00; 	current<=dat0; 		end //设置显示第一行
				dat0:   begin  e<=0;rs<=1; dat<="H"; 	current<=dat1; 		end    
				dat1:   begin  e<=0;rs<=1; dat<="E"; 	current<=dat2; 		end 
				dat2:   begin  e<=0;rs<=1; dat<="L"; 	current<=dat3; 		end 
				dat3:   begin  e<=0;rs<=1; dat<="L";	current<=dat4; 		end 
				dat4:   begin  e<=0;rs<=1; dat<="O"; 	current<=dat5; 		end 
				dat5:   begin  e<=0;rs<=1; dat<=" "; 	current<=dat6; 		end 
				dat6:   begin  e<=0;rs<=1; dat<="F"; 	current<=dat7; 		end 
				dat7:   begin  e<=0;rs<=1; dat<="P";	current<=dat8; 		end 
				dat8:   begin  e<=0;rs<=1; dat<="G"; 	current<=dat9; 		end 
				dat9:   begin  e<=0;rs<=1; dat<="A";	current<=dat10 ; 	end 
				dat10:  begin  e<=0;rs<=1; dat<="!"; 	current<=dat11; 	end 
				dat11:  begin  e<=0;rs<=1; dat<="1"; 	current<=dat12; 	end 
				dat12:  begin  e<=0;rs<=1; dat<="2"; 	current<=dat13; 	end 
				dat13:  begin  e<=0;rs<=1; dat<="3"; 	current<=dat14; 	end 
				dat14:  begin  e<=0;rs<=1; dat<="4"; 	current<=dat15; 	end 
				dat15:  begin  e<=0;rs<=1; dat<="5"; 	current<=fini; 		end 
				fini:   begin  e<=1;rs<=0; dat<=8'h00;       				end
				default:   current<=set0; 
				endcase 
			end
	 end 
	 
	assign en=clkr|e; 
	assign rw=0; 
	
endmodule  

🍍蜂鸣器驱动

【FPGA】Spirit_V2驱动有源蜂鸣器

最简单的方式驱动板载有源蜂鸣器:

module beep ( 
	key,
	beep
 );
 	input key;
	output beep;
	
	assign beep = key?1'b1:1'b0;

endmodule  

csdn

🧸结尾


  • ❤️ 感谢您的支持和鼓励! 😊🙏
  • 📜您可能感兴趣的内容:
  • 【FPGA零基础学习之旅#1】 AC620V2开发板测试
  • 【Go黑帽子】使用Golang编写一个TCP扫描器(基础篇)
  • 【Arduino TinyGo】【最新】使用Go语言编写Arduino-环境搭建和点亮LED灯
  • Labview机器视觉-USB摄像头识别一维码(条形码)- 学习记录
    遇见未来

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/1067.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

Kaggle实战入门:泰坦尼克号生生还预测

Kaggle实战入门&#xff1a;泰坦尼克号生生还预测1. 加载数据2. 特征工程3. 模型训练4. 模型部署泰坦尼克号&#xff08;Titanic&#xff09;&#xff0c;又称铁达尼号&#xff0c;是当时世界上体积最庞大、内部设施最豪华的客运轮船&#xff0c;有“永不沉没”的美誉&#xff…

Spring-Kafka 发送消息的两种写法

文章目录前言写法一&#xff1a;发送的消息对象是字符串1 创建项目2 项目结构3 application.yml 配置文件4 生产者 KafkaProducerComponent5 消费者 KafkaConsumerComponent6 控制器&#xff08;GET请求发送消息&#xff09;7 启动类8 测试效果写法二&#xff1a;发送复杂消息对…

【C++】多态

文章目录多态的概念多态的定义及实现多态的构成条件虚函数虚函数的重写C11 final和override抽象类概念多态的原理&#xff08;以下演示在32平台&#xff09;虚函数表多态的原理静态绑定和动态绑定单继承和多继承关系的虚函数表单继承派生类的虚函数表多继承派生类的虚函数表其他…

彻底理解Session、Cookie、Token,入门及实战

文章目录Session Cookie的使用Token的使用Session Cookie的使用 1. Session存储数据 HttpSession session request.getSession(); //Servlet底层通过的SESSIONID&#xff0c;获取Session对象。 session.setAttribute("loginTime",new Date()); out.println(&q…

【算法基础】数据结构| 单链表+双链表 代码实现+图解+原理

博主简介&#xff1a;努力学习的预备程序媛一枚~博主主页&#xff1a; 是瑶瑶子啦所属专栏: Java岛冒险记【从小白到大佬之路】 前言 因为瑶瑶子正在备战蓝桥杯和校内ACM选拔赛&#xff0c;最近在学习算法相关的知识。我是借助AcWing网站来学习的&#xff0c;这篇文章是我学习…

1.3 K8S入门之组件说明

Borg K8S起源于Borg系统三种请求来源&#xff1a; borgcfgCLTWEB browsersBorgMaster: 负责请求的分发Borglet: 工人sheduler&#xff1a;包工头 和Persist store交互&#xff0c;不直接和Borglet交互Borglet监听Persist store K8S CS结构 Master服务器Node节点 Replicat…

行业洞察丨PDF图纸为什么影响生产企业的生产质量?订单交期?

随着现代社会科技的发展&#xff0c;在全球激烈的市场竞争下&#xff0c;国内企业基于质量和成本的竞争已经日益转化为基于时间的竞争&#xff0c;如何快速响应瞬息万变的市场需求&#xff0c;更快完成生产订单交付&#xff1f;这已成为生产型企业面临的一大痛点。 承接市场客户…

python搭建web服务器

前言&#xff1a;相信看到这篇文章的小伙伴都或多或少有一些编程基础&#xff0c;懂得一些linux的基本命令了吧&#xff0c;本篇文章将带领大家服务器如何部署一个使用django框架开发的一个网站进行云服务器端的部署。 文章使用到的的工具 Python&#xff1a;一种编程语言&…

用 DolphinDB 和 Python Celery 搭建一个高性能因子计算平台

因子挖掘是量化金融研究和交易的核心工作。传统的开发流程中&#xff0c;通常使用 Python 从关系型数据库&#xff08;如 SqlServer, Oracle 等&#xff09;读取数据&#xff0c;在 Python 中进行因子计算。随着证券交易规模不断扩大以及交易数据量的激增&#xff0c;用户对因子…

QT VTK开发 (一、下载编译)

Vtk&#xff0c;&#xff08;visualization toolkit&#xff09;是一个开源的免费软件系统&#xff0c;主要用于三维计算机图形学、图像处理和可视化。Vtk是在面向对象原理的基础上设计和实现的&#xff0c;它的内核是用C构建的&#xff0c;包含有大约250,000行代码&#xff0c…

计算机组成原理实验一(完整)

在VC中使用调试功能将下列语句运行的内存存放结果截图&#xff0c;每运行一句需截图一次。 #include<stdio.h> int main() {int a 你的学号末两位-100; //0x&#xff1f;&#xff1f;&#xff1f;&#xff1f;&#xff1f;&#xff1f;&#xff1f;&#xff1f;&#x…

关于Anaconda的下载和安装方法及报错说明

初学者接触python时&#xff0c;常会因各种环境问题、各种包的安装问题而苦恼&#xff0c;Anaconda则可以解决这一切繁琐的问题&#xff0c;但很多人不知道如何下载安装配置&#xff0c;本文详细讲述下载和安装配置过程&#xff0c;也汇总常见安装过程中的错误&#xff08;零基…

【3】核心易中期刊推荐——人工智能计算机仿真

🚀🚀🚀NEW!!!核心易中期刊推荐栏目来啦 ~ 📚🍀 核心期刊在国内的应用范围非常广,核心期刊发表论文是国内很多作者晋升的硬性要求,并且在国内属于顶尖论文发表,具有很高的学术价值。在中文核心目录体系中,权威代表有CSSCI、CSCD和北大核心。其中,中文期刊的数…

【Kubernetes】第二十八篇 - 实现自动构建部署

一&#xff0c;前言 上一篇&#xff0c;介绍了 Deployment、Service 的创建&#xff0c;完成了前端项目的构建部署&#xff1b; 希望实现&#xff1a;推送代码 -> 自动构建部署-> k8s 滚动更新&#xff1b; 本篇&#xff0c;实现自动构建部署 二&#xff0c;推送触发构…

28个案例问题分析---15---登陆之后我加入的课程调用接口报错--ArrayList线程不安全。占用内存情况

ArrayList线程不安全。占用内存情况故事背景方案&思路解决线程不安全的问题方案一&#xff1a;在这两个方法之前添加 synchronized 关键字。方案二&#xff1a;使用ThreadLocal变量。解决重复创建对象问题。总结&升华故事背景 存入redis的值&#xff0c;可能会出现错误…

黑马《数据结构与算法2023版》正式发布

有人的地方就有江湖。 在“程序开发”的江湖之中&#xff0c;各种技术流派风起云涌&#xff0c;变幻莫测&#xff0c;每一位IT侠客&#xff0c;对“技术秘籍”的追求和探索也从未停止过。 要论开发技术哪家强&#xff0c;可谓众说纷纭。但长久以来&#xff0c;确有一技&#…

实用调试技巧【详细介绍】

实用调试技巧1. 什么是bug&#xff1f;2. 调试是什么&#xff1f;有多重要&#xff1f;2.1 调试是什么&#xff1f;2.2 调试的基本步骤2.3 Debug和Release的介绍3. Windows环境调试介绍3.1 调试环境的准备3.2 学会快捷键3.3 调试的时候查看程序当前信息3.3.1 查看临时变量的值3…

Java中的异常

程序错误一般分为三种&#xff1a;编译错误&#xff1a; 编写程序时没有遵循语法规则&#xff0c;编译程序能够自己发现错误并提示位置和原因。运行错误&#xff1a;程序在执行的时候运行环境发现了不能执行的操作。比如&#xff0c;JVM出错了&#xff0c;内存溢出等。逻辑错误…

Docker常用项目实战演练

docker镜像源的修改 linux环境下编辑 /etc/docker/daemon.json vi /etc/docker/daemon.json #如添加如下网易镜像源 { "registry-mirrors": ["http://hub-mirror.c.163.com"] }docker run命令详细解释 日常工作中用的比较多的是docker run命令&#xff…

2023年目标检测毕业设计(yolov5车辆识别、车辆检测、车牌识别、行人识别)

车辆识别视频yolov5车辆识别视频yolov5 yoloR对比行人车辆识别视频yolov8识别视频订阅专栏获得源码:http://t.csdn.cn/zsG47 ​​​​​​​先看一下yolo发展史 二、单目测距原理 图中有一个车辆&#xff0c;且车辆在地面上&#xff0c;其接地点Q必定在地面上。那么Q点的深度便…