vivado产生报告阅读分析6-时序报告2

1、复查时序路径详情
单击“ OK ”运行报告命令后 将打开一个新窗口。这样您即可复查其中内容。在其中可查看执行选定的每种类型 (min/max/min_max ) 的分析之后所报告的 N 条最差路径。 下图显示的“Report Timing 时序报告 窗口中已选中最小和最大分析 SETUP HOLD ), N=4
选中其中任意路径即可在“ Path Properties 路径属性 窗口的“ Report 报告 选项卡下查看其详情。
2、Report Timing Summary
综合后即可在流程中随时执行时序分析。您可复查由综合和实现运行自动创建的“ Timing Summary 时序汇总 报告文件。 如果在存储器中已加载综合后设计或实现后设计, 那么还可通过以下方式生成交互式“ Timing Summary ”报告
• “ Flow Navigator ” → “ Synthesis Flow Navigator > 综合
• “ Flow Navigator ” → “ Implementation Flow Navigator > 实现
• “ Reports ” → “ Timing ” → “ Report Timing Summary 报告 > 时序 > 时序汇总报告
等效的 Tcl 命令为 report_timing_summary
在综合后设计中 AMD Vivado IDE 时序引擎会基于连接和扇出来估算信号线延迟。对于已由用户布局的单元之间的信号线, 延迟准确性更高。在包含部分预布局单元 例如 I/O GT 的路径上 时钟偏差可能更大。 在实现后设计中, 基于实际布线信息来估算信号线延迟。对于已完全布线的设计 必须使用“ Timing Summary ”报告来实现时序验收。要验证设计是否已完全布线, 请复查“ Route Status 布线状态 报告。 从 Tcl 控制台或从 GUI 运行此时序汇总报告时 可使用 -cells 选项将其限定于 1 个或多个层级单元。限定报告作用域后, 将仅报告含如下数据路径部分的路径 数据路径开始或结束于此类单元、与此类单元交汇或者完全包含于此类单元内。
Tcl 控制台运行时 此报告的第一部分提供了来自最新的 report_methodology 运行的方法论违例汇总信息。从GUI 运行 report_timing_summary 这部分名为“ Methodology Summary 方法论汇总 。如果运行report_timing_summary 之前尚未运行 report_methodology 则这部分为空。如果自从上一次 report_methodology 运行后已实现任意设计更改 那么违例汇总信息可能并未提供最新信息。
3、“ Report Timing Summary ”对话框
Vivado IDE Report Timing Summary 时序汇总报告 对话框包含以下选项卡
Options ”选项卡
Advanced ”选项卡
Timer Settings ”选项卡
位于“ Report Timing Summary ”对话框顶部的“ Results name 结果名称 字段用于指定在“ Results 结果 窗口中打开的图形化报告的名称。图形化版本的报告包含超链接, 支持您将来自报告的信号线和单元交叉引用至“Device 器件 和“ Schematic 板级原理图 窗口以及设计源文件。 如果该字段留空, 那么报告将返回 Tcl 控制台 且在“ Results ”窗口中不会打开图形化版本的报告。 等效的 Tcl 选项 -name
Options ”选项卡
Report Timing Summary 时序汇总报告 对话框中的“ Options 选项 选项卡如下图所示。
Report ”部分
Report Timing Summary 时序汇总报告 对话框的“ Options 选项 选项卡的“ Report 报告 部分包含 以下内容:
• “ Path delay type 路径延迟类型
用于设置要运行的分析类型。对于综合后设计 默认情况下仅执行最大延迟分析 建立 / 恢复 。对于已实现的设计, 默认情况下 将执行最小和最大延迟分析 建立 / 保持和恢复 / 移除 。要仅运行最小延迟分析 保持和移除), 请选择延迟类型 min 。 等效的 Tcl 选项 -delay_type
• “ Report unconstrained paths 报告未约束路径
生成不含时序要求的路径的相关信息。默认情况下 Vivado IDE 中已选中该选项 但在等效的 Tcl 命令 report_timing_summary 中默认不开启该选项。等效的 Tcl 选项 -report_unconstrained
• “ Report datasheet 数据手册报告 ) 生成本章中的 Report Datasheet 中所定义的设计数据手册。 等效的 Tcl 选项 -datasheet
Path Limits ”部分
Report Timing Summary 时序汇总报告 对话框中“ Options 选项 选项卡的“ Path Limits 路径限制 部 分包括:
• “ Maximum number of paths per clock or path group 各时钟或路径组的最大路径数 ): 控制每个时钟对或每个路径组所报告的最大路径数。 等效的 Tcl 选项 -max_paths
• “ Maximum number of worst paths per endpoint 各端点的最差路径的最大数量 ): 控制每个路径端点可能报告的最大路径数。此限制受到每个时钟对或路径组的最大数量的限制。因此, 报告的路径总数仍受到 -max_paths 数量的限制。 等效的 Tcl 选项 -nworst
Path Display ”部分
Report Timing Summary 时序汇总报告 对话框的“ Options 选项 选项卡的“ Path Display 路径显示 ) 部分包括:
• “ Display paths with slack less than 显示裕量小于指定值的路径 ): 基于路径的裕量值筛选报告的路径。此选项不影响汇总表的内容。 等效的 Tcl 选项 -slack_lesser_than
• “ Significant digits 有效位数 ): 控制报告中显示的数值的精确度。 等效的 Tcl 选项 -significant_digits
通用部分
以下控件为位于“ Report Timing Summary 时序汇总报告 对话框底部的全部 3 个选项卡通用的控件
• “ Command 命令 ): 显示等效于“ Report Timing Summary ”对话框中指定的各种选项的 Tcl 命令行。
• “ Open in a New Tab 在新选项卡中打开 ): 在新选项卡中打开结果 或替换“ Results 结果 窗口中打开的最后一个选项卡。
• “ Open in Timing Analysis layout 在时序分析布局中打开 ): 将当前视图布局复位为“ Timing Analysis 时序 分析) 视图布局。
Advanced ”选项卡
Report ”部分
• “ Report from cell 基于单元的报告 ): 启用该选项即可将时序报告限制在设计的特定单元上。报告将仅包含数据路径部分始于指定单元、止于该单元、跨该单元或完全包含于该单元内的路径。
等效的 Tcl 选项 -cells
• “ Show input pins in path 显示路径中的输入管脚 ): 显示用于路径的单元输入管脚。 等效的 Tcl 选项 -input_pins
• “ Report unique Pins 唯一管脚报告 ): 针对每一组唯一的管脚仅显示 1 条时序路径。
等效的 Tcl 选项 -unique_pins
File Output ”部分
• “ Write results to file 将结果写入文件 ): 将结果写入指定文件名。默认情况下 报告将写入 Vivado IDE 的 “Timing 时序 窗口。
等效的 Tcl 选项 -file
• “ Overwrite 覆盖 或“ Append 追加 ): 当报告写入文件时 2 个选项可用于确定 (1) 覆盖指定文件 , 还是 (2) 向现有报告追加新信息。
等效的 Tcl 选项 -append
• “ Interactive report file 交互式报告文件 ): 将结果以 AMD RPX 格式写入指定的文件中。 RPX 文件是一个包含所有报告信息的交互式报告, 可在 Vivado Design Suite 中使用 open_report 命令将其重新加载到存储器中。
Miscellaneous ”部分
• “ Ignore command errors 忽略命令错误 ): 以静默方式执行命令 忽略所有命令行错误 不返回任何消息。此命令还会返回 TCL_OK 忽略执行期间遇到的所有错误。 等效的 Tcl 选项 -quiet
• “ Suspend message limits during command execution 命令执行期间暂挂消息限制 ): 临时覆盖所有消息限制并返回所有消息。 等效的 Tcl 选项 -verbose
Timer Settings ”选项卡
如需执行定时器设置 请使用如下任一方法 (1) 任一 Vivado IDE 时序分析对话框 或者 (2) 本节中列出的任一 Tcl 命令。这些设置会影响同一 Vivado IDE 会话内运行的其他时序相关命令 但综合和实现命令除外。 定时器设置不保存为工具首选项。每个新会话都会复原默认值。请勿更改默认值。保留默认值将以最准确的延迟值来提供最大的时序分析覆盖范围。
Interconnect 设置
该选项用于控制信号线延迟计算方式 根据估算的叶节点单元管脚间布线距离来计算 还是根据实际布线的信号线来计算, 或者从时序分析中排除信号线延迟。对于综合后设计 该选项自动设置为“ Estimated 对于实现后设计 该选 项自动设置为“Actual ”。
• “ Estimated 估算 ): 对于未布局的单元 信号线延迟值对应于可能实现的最佳布局的延迟 基于驱动程序和负载的性质以及扇出来计算。在时序路径报告中, 未布局的叶节点单元管脚之间的信号线标记为未布局 (unplaced) 。 对于已布局的单元, 信号线延迟取决于驱动程序和负载之间的距离以及扇出。此信号线在时序路径报告中标记为estimated。
• “ Actual 实际 ): 对于已布线的信号线 信号线延迟对应于已布线的互连的实际硬件延迟。此信号线在时序路径报告中标记为 routed
• “ None ): 在时序报告中不考虑互连延迟 信号线延迟强制为 0 。 等效的 Tcl 命令 set_delay_model
Multi-Corner Configuration ”设置
指定要针对指定时序角分析的路径延迟类型。有效值包括 none max min min_max 。选择 none 为指定时序角禁用的时序分析。等效的 Tcl 命令:config_timing_corners
Disable Flight Delays
不将封装延迟添加到 I/O 延迟计算中。 等效的 Tcl 命令 config_timing_analysis

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/153721.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

知识梳理到了领域榜一,意外,开心。

我的护城河 就是掌握的不断更新的技术。 一直被认可的能力。 完美的项目交付。 写的文章得到了读者们的认可。 希望我做的努力被更多的人看到。 分享的代码片可以解决他人的问题。 很惊喜,今早我的文章被数据结构和算法领域内容榜排到了第一名。 被认可的感觉很棒。…

基于IGT-DSER实现工业触摸屏与PLC设备之间WIFI无线通讯

本文是基于IGT-DSER系列智能网关设备实现工业触摸屏与PLC设备之间WIFI无线通讯的案例。PLC之间无线通讯的案例 网络结构如下图,触摸屏通过网线连接IGT-DSERWIFI智能网关,实现WIFI的AP功能;一台串口型PLC和一台网口型PLC分别通过IGT-WSER智能网…

接口自动化测试面试题

前言 前面总结了一篇关于接口测试的常规面试题,现在接口自动化测试用的比较多,也是被很多公司看好。那么想做接口自动化测试需要具备哪些能力呢? 也就是面试的过程中,面试官会考哪些问题,知道你是不是真的做过接口自动…

【第2章 Node.js基础】2.7 Node.js 的流(一)可写流

🌈可写流 🚀什么是可写流 可写流是对数据被写入的目的地的一种抽象。 所有可写流都实现了 stream.Writable类定义的接口。 可写流的例子包括,也都是实现了可写流接口的双工流 客户端的 HTTP 请求、服务器的HTTP 响应、fs 的写入流、zlib…

JUNIT使用和注意、以及断言的介绍使用、SpringBoot Test测试类的使用、maven配置使用junit详细介绍

参考文章: https://www.cnblogs.com/zhukaile/p/14514238.html,https://blog.csdn.net/qq_36448800/article/details/126438339 一、什么是单元测试 在平时的开发当中,一个项目往往包含了大量的方法,可能有成千上万个。如何去保…

最小生成树

目录 带权图 带权图java代码实现 最小生成树 Kruskal算法 ​切分定理 Kruskal算法的java代码实现 Prim算法 Prim算法的java代码实现 总结 带权图 边上的权是附加的额外信息,可以代表不同公路的收费等你需要的信息。 带权图java代码实现 port java.io.File…

mysql---squid代理服务器

squid代理服务器 nginx也可以代理:反向代理--------负载均衡 squid:正向代理服务器。例:vpn squid :正向代理,缓存加速,acl过滤控制 代理的工作机制 1、代替客户端向网站请求数据,不需要访问代理的IP地址…

AI时代,如何防范诈骗的建议

以下是一些防范AI诈骗的方法: 认知教育:了解AI技术的应用和局限性,学习如何识别虚假信息和诈骗手段。保护个人信息:不要轻易泄露个人信息,尤其是身份证号码、银行卡号等敏感信息。谨慎对待陌生人的联系和信息&#xf…

前后端分离项目在Linux的部署方法、一台Nginx如何部署多个Web应用

需求场景:目前有三个前后端分离项目(vue+springboot),Linux服务器一台,nginx一个,比如服务器地址为www.xxxxxxx.com 我想通过80端口访问服务①(即访问www.xxxxxxx.com);通过81端口访问服务②(即www.xxxxxxx.com:81);通过82端口访问服务③(即www.xxxxxxx.com:82) ①部…

Java抽象类和接口

抽象类 看看这个代码 class Shape{public void draw(){System.out.println("画图形");} } class Cycle extends Shape{Overridepublic void draw() {System.out.println("⚪");} } class Rect extends Shape{Overridepublic void draw() {System.out.pri…

一个集成了AI和BI报表功能的新一代数据库管理系统神器--Chat2DB

世人皆知Navicate,无人识我Chat2DB 📖 简介 Chat2DB 是一款开源免费的多数据库客户端工具,支持多平台和主流数据库。 集成了AI的能力,能进行自然语言转SQL、SQL解释、SQL优化、SQL转换 ✨ 好处 1、AIGC和数据库客户端的联动&am…

[Vue 代码模板] Vue3 中使用 Tailwind CSS + NutUI 实现侧边工具栏切换主题

文章归档:https://www.yuque.com/u27599042/coding_star/vzkgy6gvcnpl3u2y 效果示例 配置 src 目录别名 https://www.yuque.com/u27599042/coding_star/ogu2bhefy1fvahfv 配置 Tailwind CSS https://www.yuque.com/u27599042/coding_star/yqzi9olphko9ity1 配置…

各地区农村及城镇恩格尔系数数据集(1978-2022年)

恩格尔系数是以德国统计学家恩格尔(Ernst Engel)的名字命名的一个经济指标,用来衡量食品支出占家庭总支出的比例。一般来说,恩格尔系数越低,表明家庭在食品上的支出占比越小,相对而言家庭的生活水平和经济条…

StringBuffer和StringBuilder的区别与联系

文章目录 区别一览StringBuffer如何实现多线程同步关键字(Synchronized)性能考虑使用场景 当不使用多线程的情况下,是否StringBuffer和StringBuilder的性能一样?性能差异原因实践中的选择结论 区别一览 StringBuffer 和 StringBu…

Unity Quaternion接口API的常用方法解析_unity基础开发教程

Quaternion接口的常用方法 Quaternion.Euler()Quaternion.Lerp()Quaternion.Inverse()Quaternion.RotateTowards() Quaternion在Unity中是一种非常重要的数据类型,用于表示3D空间中的旋转。Quaternion可以表示任何旋转,无论是在哪个轴上旋转多少度&#…

fablic 矩形多边形展示删除按钮

标注的矩形框或者多边形框展示删除按钮; 官网有一个例子 我原本想着按照他这个思路,很简单的; 可是当我在使用的过程中,遇到了一些问题,多变想不展示删除按钮;并且如果之前有矩形,无法渲然删除按…

拿走吧你,Fiddler模拟请求发送和修改响应数据

fiddler模拟伪造请求 方法一:打断点模拟HTTP请求 1、浏览器页面填好内容后(不要操作提交),打开fiddler,设置请求前断点,点击菜单fiddler,”Rules”\”Automatic Breakpoints”\”Before Requests” 2、在…

问题总结(持续更新)

Linux 1.虚拟机问题 打开虚拟机所在目录对 后缀 .vmx文件进行修改 vmcio.present"FALSE" 改为FALSE即可 2.因某些问题导致本来正常的虚拟机没有网络了 重新配置网络 vim /etc/sysconfig/network-scripts/ifcfg-enstab补全 service network restart 重启网络 Sentina…

海外推广必备|如何制定领英LinkedIn营销战略?

在网络上脱颖而出不是一件简单的事。不仅有比以往更多的平台、算法和内容类型,而且还有更多的企业在争夺注意力。据统计,每天有超过 270 万家公司在 LinkedIn 上发布信息。 策略很重要,尤其是在 LinkedIn 营销领域。下面将为你总结LinkedIn 营…

操作系统OS/进程与线程/线程

进程和线程 进程 进程实体(进程映像)由PCB、程序段和数据段组成,其中PCB是进程存在的唯一标志。 线程 线程最直接的理解就是“轻量级进程”,它是一个基本的CPU执行单元,包含CPU现场(状态),也是程序执行…
最新文章