MATLAB读写txt文件数据与进制转换

文章目录

  • 前言
  • 读txt文件
    • 读txt中的十进制数据到MATLAB
    • 读txt数据的其他进制数据转为十进制到MATLAB
    • 读txt内容到MATLAB
  • 写txt文件
    • 总:将MATLAB生成的10进制数据转换成十进制和radix进制写入txt
    • 分:将MATLAB中十进制数据以'radix进制'数据格式写入txt文件
    • 分:将MATLAB中十进制数据写入txt文件
  • 读txt数据进制转换后写入新的txt

前言

本文中读与写的txt数据格式适用于一行一个数据的情况,其他情况请自行结合修改。如下图所示:
在这里插入图片描述

读txt文件

读txt中的十进制数据到MATLAB

%% 此代码用于读取TXT文件的十进制数据到MATLAB
% 指定txt文件路径
file_path = 'F:\Add Window_and_FFT Module Design\Vivado_project\rtl\data_decimal.txt';%单引号中放文件地址
% 读取txt文件
data = load(file_path)';%根据需要加单引号转置
disp('读取数据完成');

读txt数据的其他进制数据转为十进制到MATLAB

修改txt的读取地址,修改txt中的数据进制txt_radix,修改txt中数据对应的二进制位数bits

默认是有符号数转换,无符号时注释掉标注部分。

%% 读txt数据的其他进制数据转为十进制到MATLAB
%读取地址与参数设置
txtdatacell = textscan(fopen( 'F:\Add Window_and_FFT Module Design\Vivado_project\rtl\bin_Signal.txt'),'%s');
txt_radix = 2 ; %读取的txt数据的进制
bits = 16;		% 信号对应的二进制位数,读取补码数据时使用
%用于存储数据的变量声明
txtdata = txtdatacell{1};
length_txtdata = length(txtdata);% txt中的数据个数
decdata = zeros(length_txtdata,1);	
%读取主体部分
for i=1:length_txtdata
    decdata(i) = base2dec( txtdata{i},txt_radix );	%将所有进制数转换为无符号十进制数
    %begin:无符号时注释此部分
    if(decdata(i)>= 2^(bits-1))	% 如果补码对应的十进制结果大于等于一半
            decdata(i)=base2dec(txtdata{i},txt_radix )-2^bits;% 转换为负数
    end
    %end:无符号时注释此部分
end
disp('读取转换完成');

读txt内容到MATLAB

txtdatacell = textscan(fopen( 'F:\Add Window_and_FFT Module Design\Vivado_project\rtl\bin_Signal.txt'),'%s');
txtdata = txtdatacell{1};
disp('读取完成');

写txt文件

总:将MATLAB生成的10进制数据转换成十进制和radix进制写入txt

此代码包含了生成信号数据,输出十进制txt,输出其他进制txt。后两小节的"分"为该总部分的一小部分。

%本代码用于将MATLAB生成的十进制数据转为radix进制生成txt文件,radix可设为2的次方,如:2 4 8 16...
%根据需要设置生成信号和参数设置部分,修改写txt主体部分文件输出地址
%参数设置中radix表示将Signal转换成该进制数据,默认生成10进制和radix进制的两个txt数据
%工作区中Signal是txt中对应的十进制数据;radix_data_cell是txt中对应的其他进制数据
clear all;close all;clc; %清理工作区,关闭所有窗口,清空文本
%% 信号生成与参数设置
%生成信号
fs = 128;        %采样率
f_Signal = 5;    %信号频率
Signal_N = 128;  %信号点数
t = 0:1/fs:(Signal_N-1)/fs; %取Signal_N点信号观察
scale = 2^15;    %扩大倍数
Signal1 = cos(2*pi*f_Signal*t)*scale;
Signal = round(Signal1)';%取整
%参数设置
bits = 16;       %信号被量化后的二进制位数
radix = 2;       %转换成'2的次方进制可选'的数据输出,如:2 4 8 16...
radix_bitnum = log2(radix);%进制对应的二进制位数,例16进制对应4位二进制
Converte_bits = ceil(bits/radix_bitnum);%信号转换成radix进制的位数,如14bits二进制可转为4位十六进制数
%% 写txt主体部分
%将生成的十进制数据写入txt文件中
fid=fopen('F:\Add Window_and_FFT Module Design\Vivado_project\rtl\data_decimal.txt','w');
for i = 1:numel(Signal)-1
    fprintf(fid, '%8d\r\n', Signal(i));
end
    fprintf(fid, '%8d', Signal(end));
fclose(fid);
disp('十进制数据已写入到txt文件。');
%将生成的进制数据写入txt文件中
% 初始化一个 cell 数组用于存储转换后的进制字符串
radix_data_cell = cell(length(Signal), 1);
% 循环处理每个元素
for i=1:length(Signal)
    if (Signal(i) == 2^(bits-1))
         radix_data_cell{i}= dec2base((Signal(i)-1),radix,Converte_bits);%补码范围不包括+2^(bits-1)
    else
         radix_data_cell{i}= dec2base(Signal(i)+(Signal(i)<0)*2^bits,radix,Converte_bits);%(Signal(i)<0用于量化为负数补码的情况
    end
end
% 打开一个文本文件以写入模式
fid = fopen('F:\Add Window_and_FFT Module Design\Vivado_project\rtl\data_radix.txt', 'w');
% 循环遍历 cell 数组并将每个元素写入文件
for i = 1:numel(radix_data_cell)-1
    fprintf(fid, '%s\n', radix_data_cell{i});
end
    fprintf(fid, '%s', radix_data_cell{end});
    %fprintf(fid,';'); %最后一行分号结尾
% 关闭文件
fclose(fid);
disp('其他进制数据已写入到txt文件。');

分:将MATLAB中十进制数据以’radix进制’数据格式写入txt文件

%% 将MATLAB中十进制数据以'radix进制'数据格式写入txt文件
Signal = decdata;%修改decdata为需要转换的数据,需要为整数,用round等取整
bits = 16;      %信号对应的二进制位数
radix = 16;      %转换成'2的次方进制可选'的数据输出,如:2 4 8 16...
radix_bitnum = log2(radix);%进制对应的二进制位数,例16进制对应4位二进制
Converte_bits = ceil(bits/radix_bitnum);%信号转换成radix进制的位数,如14bits二进制可转为4位十六进制数
% 初始化一个 cell 数组用于存储转换后的进制字符串
radix_data_cell = cell(length(Signal), 1);
% 循环处理每个元素
for i=1:length(Signal)
    if (Signal(i) == 2^(bits-1))
         radix_data_cell{i}= dec2base((Signal(i)-1),radix,Converte_bits);%补码范围不包括+2^(bits-1)
    else
         radix_data_cell{i}= dec2base(Signal(i)+(Signal(i)<0)*2^bits,radix,Converte_bits);%(Signal(i)<0用于量化为负数补码的情况
    end
end
% 打开一个文本文件以写入模式
fid = fopen('F:\Add Window_and_FFT Module Design\Vivado_project\rtl\data_radix.txt', 'w');
% 循环遍历 cell 数组并将每个元素写入文件
for i = 1:numel(radix_data_cell)-1
    fprintf(fid, '%s\n', radix_data_cell{i});
end
    fprintf(fid, '%s', radix_data_cell{end});
    %fprintf(fid,';'); %最后一行分号结尾
% 关闭文件
fclose(fid);
disp('其他进制数据已写入到txt文件。');

分:将MATLAB中十进制数据写入txt文件

%% 将生成的十进制数据写入txt文件中
Signal = decdata;%修改decdata为需要写入txt的数据
fid=fopen('F:\Add Window_and_FFT Module Design\Vivado_project\rtl\data_decimal.txt','w');
for i = 1:numel(Signal)-1
    fprintf(fid, '%8d\r\n', Signal(i));
end
    fprintf(fid, '%8d', Signal(end));
fclose(fid);
disp('十进制数据已写入到txt文件。');

读txt数据进制转换后写入新的txt

将上面小节的读txt数据的其他进制数据转为十进制到MATLAB分:将MATLAB中十进制数据以'radix进制'数据格式写入txt文件组合即可实现进制转换。修改对应参数设置与地址。


📣您有任何问题,都可以在评论区和我交流📃!
📣本文由 YprgDay 原创,首发于CSDN平台🐵,博客主页:YprgDay的主页
📣您的支持是我持续创作的最大动力!如果本文对您有帮助,还请多多点赞👍、评论💬和收藏⭐!


本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/242684.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

gRPC-Gateway:高效转换 RESTful 接口 | 开源日报 No.105

grpc-ecosystem/grpc-gateway Stars: 16.4k License: BSD-3-Clause gRPC-Gateway 是一个遵循 gRPC HTTP 规范的 gRPC 到 JSON 代理生成器。它是 Google 协议缓冲编译器 protoc 的插件&#xff0c;可以读取 protobuf 服务定义并生成反向代理服务器&#xff0c;将 RESTful HTTP…

linux下配置vscode中的ros的c++调试

第一步 这块是launch.json {"version": "0.2.0","configurations": [{"name": "g - 生成和调试活动文件","type": "cppdbg","request": "launch","program": "${wo…

Facebook运营技巧详解,Facebook多店铺如何运营?

在前不久的文章中就讲过Facebook养号和广告的投放技巧&#xff0c;今天东哥就趁热打铁来接着讲讲Facebook的运营技巧&#xff0c;现在做外贸和跨境电商的人基本上都用过Facebook&#xff0c;像在流量这么庞大的平台上想要抓住更多机遇&#xff0c;懂得一些运营技巧是必不可少的…

解决前端VUE前端框架报错Error: error:0308010C:digital envelope routines::unsupported的几种方法

一、报错信息&#xff1a; Error: error:0308010C:digital envelope routines::unsupportedat new Hash (node:internal/crypto/hash:67:19)at Object.createHash (node:crypto:135:10)at module.exports (E:\Projects\platform-code\platform-cloud\ruoyi-ui\node_modules\we…

鸿蒙开发之页面与组件生命周期

一、页面间的跳转 创建文件的时候记得选择创建page文件&#xff0c;这样就可以在main->resources->profile->main_pages.json中自动形成页面对应的路由了。如果创建的时候你选择了ArkTS文件&#xff0c;那么需要手动修改main_pages.json文件中&#xff0c;添加相应的…

缓存雪崩问题与应对策略

目录 1. 缓存雪崩的原因 1.1 缓存同时失效 1.2 缓存层无法应对高并发 1.3 缓存和后端系统之间存在紧密关联 2. 缓存雪崩的影响 2.1 系统性能下降 2.2 数据库压力激增 2.3 用户请求失败率增加 3. 应对策略 3.1 多级缓存 3.2 限流与降级 3.3 异步缓存更新 3.4 并发控…

OpenHarmony应用开发——更改应用名称和图标

一、前言 相比其他&#xff0c;可能学者更希望学到的就是更改应用名称和图标&#xff0c;当一个自己的程序运行在手机上的时候&#xff0c;或许更有成就感...... 二、详细步骤 首先&#xff0c;我们要先找到声明应用图标和应用名称的地方。如下图所示&#xff0c;在entry ->…

互联网,我们的虚拟世界

同学们&#xff0c;你们知道互联网是干什么的吗&#xff1f;它就像一个虚拟的世界&#xff0c;让我们能够连接到任何地方&#xff0c;获取任何信息&#xff0c;就像你现在正在通过互联网阅读我的文章一样。 互联网 你们有没有想过&#xff0c;如果没有互联网&#xff0c;我们的…

JavaWeb笔记之MySQL数据库

#Author 流云 #Version 1.0 一、引言 1.1 现有的数据存储方式有哪些&#xff1f; Java程序存储数据&#xff08;变量、对象、数组、集合&#xff09;&#xff0c;数据保存在内存中&#xff0c;属于瞬时状态存储。 文件&#xff08;File&#xff09;存储数据&#xff0c;保存…

webpack学习-4.开发环境

webpack学习-4.开发环境 1.mode2.使用source map3.自动编译代码3.1 webpack 的 观察模式3.2 使用 webpack-dev-server3.3 使用 webpack-dev-middleware 4.总结 1.mode 本章的标题一看就是开发环境&#xff0c;那就要引入webpack配置文件的mode了。 mode 属性用于指定 Webpack …

总结了人工智能领域,能源领域,电气领域比较好中的一些sci期刊!!仅供参考

文章目录 前言一、总结了人工智能领域&#xff0c;能源领域&#xff0c;电气领域比较好中的一些sci期刊 总结 前言 期刊查询网站&#xff1a; https://www.letpub.com.cn/index.php?pagejournalapp&viewsearch 链接: 点我跳转期刊查询网站 一、总结了人工智能领域&#…

hive数据仓库工具

1、hive是一套操作数据仓库的应用工具&#xff0c;通过这个工具可实现mapreduce的功能 2、hive的语言是hql[hive query language] 3、官网hive.apache.org 下载hive软件包地址 Welcome! - The Apache Software Foundationhttps://archive.apache.org/ 4、hive在管理数据时分为元…

[Excel] vlookup函数

VLOOKUP用法 VLOOKUP(lookup_value, table_array, col_index_num, [range_lookup])其中&#xff1a; lookup_value是你要查找的值table_array是你要在其中进行查找的表格区域col_index_num是你要返回的在table_array中列索引号range_lookup是一个可选参数&#xff0c;用于指定…

控制笔记本电脑性能,增强性能/控制发热---Thinkpad x280

1、引言 手上有一台收来办公的Thinkpad x280,但安装的联想管家却没有性能调节选项&#xff0c;导致电脑性能释放很不顺手。由于有室外办公需求&#xff0c;也就有续航需求&#xff0c;也是让它减少发热&#xff1b;同时我想在室内的时候&#xff0c;完整发挥它的性能&#xff…

App防止恶意截屏功能的方法:iOS、Android和鸿蒙系统的实现方案

防止应用被截图是一个比较常见的需求&#xff0c;主要是出于安全考虑。下面将分别为iOS&#xff08;苹果系统&#xff09;、Android&#xff08;安卓系统&#xff09;及HarmonyOS&#xff08;鸿蒙系统&#xff09;提供防止截屏的方法和示例代码。 在企业内部使用的应用中&…

从 Android 手机恢复删除的数据的10个有效工具

您是否曾经在 Android 手机上遇到过数据丢失的情况&#xff0c;即您拍摄的瞬间或其他数据意外丢失&#xff1f; 就我而言&#xff0c;我多次遇到过此类数据丢失的情况&#xff0c;相信我&#xff0c;没有什么比从手机中丢失所有重要数据更严重的了。这就像一场噩梦&#xff0c…

Spring的AOP基于注解之准备工作(需要添加的依赖以及配置文件)

添加完依赖会爆红&#xff0c;点击m标刷新即可 使用SpringAspectJ的AOP需要引入的依赖如下&#xff1a; <repositories><!--spring6里程碑版本的仓库--><repository><id>repository.spring.milestone</id><name>Spring Milestone Reposi…

(Nerf学习)GaussianEditor

论文链接 https://arxiv.org/pdf/2311.14521.pdf 原码链接 https://github.com/buaacyw/GaussianEditor 一、安装&#xff08;WIN失败&#xff0c;求解决方法&#xff09; 我使用的环境是&#xff1a;Win11 python3.8 CUDA11.8 显卡3060 1、克隆我们的存储库并创建 conda …

leetcode 144. 二叉树的前序遍历

这里面有一个知识点我没有详细讲&#xff08;求节点个数&#xff09;&#xff0c;大概我后期会讲一下&#xff0c;先了解这题思路即可 144. 二叉树的前序遍历 题目 给你二叉树的根节点 root &#xff0c;返回它节点值的 前序 遍历。 题目链接 力扣&#xff08;LeetCode&#xf…

【LeetCode刷题笔记(2)】【Python】【字母异位词分组】【中等】

字母异位词分组 题目描述 给定一个字符串数组strs&#xff0c;请你将字母异位词组合在一起。可以按任意顺序返回结果列表。 输入&#xff1a;字符串数组strs 输出&#xff1a;结果列表 字母异位词&#xff1a;由重新排列源单词的所有字母得到的一个新单词。 要求&#x…
最新文章