【实训】网络系统集成课程实训(vlan、vrrp、nat)

目录

一 实训概述

1.1 实训目的

1.2 实训内容

二 实训原理

2.1 VLAN间划分及VLAN间通信

2.2 BGP,边界网关协议 BGP是运行于 TCP 上的一种自治系统的路由协议,用于在AS之间实现路由信息的交互。

     2.2.1 VRRP原理

     2.2.2 OSPF配置

三.实训过程记录

3.1 配置数据中心部分:

3.1.1 给Server配置IP,网关以及服务:

3.1.1.1配置数据中心IP:

3.1.1.2尝试ping192.168.1.2: 

  3.1.1.3给数据中心配置NAT:

3.2 配置公网部分:

3.2.1 给R1配置IP地址、OSPF,配Loopback接口口,用来建立IBGP:

3.2.2 给R2配置IP、OSPF:

3.2.3给R3配置IP、OSPF:

3.2.4给R4配置IP、Loopback接口、OSPF:

3.2.5 创建R1与R4的BGP,R4与数据中心出口BGP:

3.2.6给R1与R4配置BGP,R1与公司出口配置BGP:

3.3 公司出口部分:

3.3.1给公司出口配置IP:

3.3.2配置BGP:

3.4 给公司总部内部配置:

3.4.1 对PC1进行配置IP、路由:

3.4.2 给PC2配置IP、路由:

3.4.3 对SW配置,创建VLAN10,VLAN20,配置access、trunk:

3.4.4对核心交换机Core-SW1配置:

3.4.5 配置VRRP:

3.4.6 对Core-SW2进行配置:

3.4.7 使用PC2测试下服务,无法连接,寻找解决办法:

3.4.8 修改数据中心出口的ip条目:

四、实训结果

 4.1 实训过程中存在的问题及解决方案

一 实训概述

1.1 实训目的

学习了解VLAN的划分以及VLAN间通信

了解VRRP原理

学会配置NAT

1.2 实训内容

对拓扑图完成以下需求:
1、三个部分:公司总部、数据中心、模拟公网
2、模拟公网部分让R1和R2建立BGP,用来传递公网路由,把公司出口的公网发布到数据中心出口,反过来亦可以,内部不需要发布,全用NAT出去。
3、R1与R2建立GBP,需要网络可达,用OSPF0。
4、数据中心部分,内网只有一个网段,通过端口映射,把server服务发布出去。
5、公司总部部分,有两个部门,分属于VLAN10,VLAN20,网关在核心交换机Core-SW1,Core-SW2上,SW只做接入用,核心交换机布署VRRP实现网关冗余(两个VRRP,一个VLAN一个)。
6、公司出口路由器与核心交换机连接的链路使用三层接口,保证公司出口给PC1,PC2回应时通过路由,布署OSPF1。
7、不能让研发部访问服务器,售后中心可以访问。
8、设置网关的时候让SW1作为研发部的master,SW2作为售后中心的master。

二 实训原理

2.1 VLAN间划分及VLAN间通信

       解决交换机在进行局域网互连时无法限制广播的问题。这种技术可以把一个LAN划分成多个逻辑的LAN——VLAN,每个VLAN是一个广播域,VLAN内的主机间通信就和在一个LAN内一样,而VLAN间则不能直接互通,这样,广播报文被限制在一个VLAN内。

2.2 BGP,边界网关协议 BGP运行于 TCP 上的一种自治系统的路由协议用于在AS之间实现路由信息的交互

     2.2.1 VRRP原理

VRRP将可以承担网关功能的路由器加入到备份组中,形成一台虚拟路由器,由VRRP的选举机制决定哪台路由器承担转发任务,局域网内的主机只需将虚拟路由器配置为缺省网关

     2.2.2 OSPF配置

OSPF协议是一种链路状态协议。每个路由器负责发现、维护与邻居的关系,并将已知的邻居列表和链路费用LSU(Link State Update)报文描述,通过可靠的泛洪与自治系统AS(Autonomous System)内的其他路由器周期性交互,学习到整个自治系统的网络拓扑结构;并通过自治系统边界的路由器注入其他AS的路由信息,从而得到整个Internet的路由信息。

三.实训过程记录

  • 按照要求建立拓扑图:

3.1 配置数据中心部分:

3.1.1 给Server配置IP,网关以及服务:

3.1.1.1配置数据中心IP:

3.1.1.2尝试ping192.168.1.2: 

  3.1.1.3给数据中心配置NAT:

在出口设备上已经配置好NAT和IP地址!

3.2 配置公网部分:

3.2.1 给R1配置IP地址、OSPF,配Loopback接口口,用来建立IBGP:

 

3.2.2 给R2配置IP、OSPF:

3.2.3给R3配置IP、OSPF:

3.2.4给R4配置IP、Loopback接口、OSPF:

查看邻居信息:

3.2.5 创建R1与R4的BGP,R4与数据中心出口BGP:

3.2.6给R1与R4配置BGP,R1与公司出口配置BGP:

查看BGP邻居表(出口路由器未配置):

不要忘记对数据中心出口配置下BGP,并发布公网网段!

3.3 公司出口部分:

3.3.1给公司出口配置IP:

3.3.2配置BGP:

查看BGP邻居信息:

查看数据中心出口和公司出口路由:

3.4 给公司总部内部配置:

3.4.1 对PC1进行配置IP、路由:

3.4.2 给PC2配置IP、路由:

3.4.3 对SW配置,创建VLAN10,VLAN20,配置access、trunk:

3.4.4对核心交换机Core-SW1配置:

3.4.5 配置VRRP:

3.4.6 对Core-SW2进行配置:

7、配置VRRP:

8、查看VRRP信息:

9、验证是否配置成功:

在公司出口的路由器中查看路由信息,发现并没有10.1.1.0与10.1.2.0的网段信息:

10、对核心交换机Core-SW1、Core-SW2配置OSPF:

11、查看邻居情况:

12、再次查看公司出口的路由信息(10.1.1.0与10.1.2.0的网段已经出现了):

13、检验是否配置成功,用PC1去ping11.1.1.1,PC2去ping11.1.2.1:

五、给剩余部分配置NAT:

1、用PC1ping100.1.1.1发现,经过排错,发现在核心交换机下还未有100.1.1.0的网关:

2、给核心交换机加上默认路由:

3、验证,PC1本来就不能上网:

4、然后用PC2去ping200.1.1.2,发现ping不同,追踪下流量去向,发现R2上没有200的路由,数据包到R2、R3的时候丢掉了,直接采用静态路由的方法解决路由黑洞:

5、对R2,R3配置静态路由:

6、用PC2ping200.1.1.2发现可以ping通,并观察学习了流量去向:

3.4.7 使用PC2测试下服务,无法连接,寻找解决办法:

3.4.8 修改数据中心出口的ip条目:

结果发现Server还未配置.......

再去PC2上尝试连接Server成功,但是PC1尝试连接Server失败,因为我们设置了PC1无法上网:

四、实训结果

按照所学内容顺利完成拓扑图环境要求,最终实现PC2连接Server成功,而PC1无法连接:

 4.1 实训过程中存在的问题及解决方案

       在实训过程中,因为拓扑图稍微有点复杂,各接口的地址有点搞混,期间跟着老师做本次实验,出现一些ping不通的现象,通过一些方法,例如采用静态路由解决路由黑洞;关闭CEF转发。还有一些自己在做实验过程中出现的问题,例如命令不熟悉、打错等一些小问题,但最终都顺利解决!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/371912.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

docker重建镜像

DockerFile如下: FROM k8s-registry.qhtx.local/base/centos7-jdk8-haitong0704RUN yum -y update && yum install -y python3-devel && yum install -y python36 RUN mv /usr/bin/python /usr/bin/python_old RUN ln -s /usr/bin/python3 /usr/bi…

我用JVS低代码平台,搭建了一套固定资产管理系统

随着企业规模的不断扩大和业务的快速发展,资产管理成为了企业运营中的重要环节。然而,传统的手工管理模式已经无法满足现代企业的需求,管理效率低下、信息不准确、流程不规范等问题逐渐凸显。为了解决这些问题,越来越多的企业开始…

将xyz格式的GRACE数据转成geotiff格式

我们需要将xyz格式的文件转成geotiff便于成图,或者geotiff转成xyz用于数据运算,下面介绍如何实现这一操作,采用GMT和matlab两种方法。 1.GMT转换 我们先准备一个xyz文件,这里是一个降水文件。在gmt中采用以下的语句实现xyz转grd…

Java栈和队列

🐵本文章将对栈相关知识进行讲解 一、什么是栈 栈是一种特殊的线性表,向栈中放入元素的次序是由栈底到栈顶依次放入,被称为入栈或压栈,从栈中出元素时只能从栈顶出,被称为出栈。即栈要求元素“先进后出” 下面给一道经…

Vivado-IP核

Vivado-IP核 主程序 timescale 1ns / 1ps ////module ip_clk_wiz(input sys_clk,input sys_rst_n,output clk_out1,output clk_out2,output clk_out3,output clk_out4,output locked);clk_wiz_0 instance_name(// Clock out ports.clk_out1(clk_out1), // output clk_out…

ywtool login guard命令

一.登录防护功能介绍 登录防护功能主要检查系统日志/var/log/secure,查看系统有没有被暴力登录。登录防护默认是检测3分钟内登录系统失败15次(次数可修改)后,视其为有攻击性,拉黑此IP(centos7通过系统文件阻止IP,centos8/9通过防火墙阻止IP)。此脚本只针对SSH访问,…

layui

基于复杂结构的自定义模版相关介绍 我这里的接口给的格式数据 我这里搜索往返时候要显示成这样的 layui.use([table,form], function(){ var table layui.table; var form layui.form;// 渲染表格 table.render({ elem: #test-table-reload,toolbar: #toolbarDemo, …

【Python基础】seaborn 使用指南(超详细!)

文章目录 seaborn1 seaborn简介1.1 主要特征:1.2 seaborn主要内容 2 seaborn基本设置2.1 图表大小:context2.2 设置风格2.3 设置字体与支持中文2.4 设置临时风格2.5 设置调色板2.6 set方法 3 调色板3.1 分类色板(qualitative)3.2 …

3.0 Hadoop 概念

本章着重介绍 Hadoop 中的概念和组成部分,属于理论章节。如果你比较着急可以跳过。但作者不建议跳过,因为它与后面的章节息息相关。 Hadoop 整体设计 Hadoop 框架是用于计算机集群大数据处理的框架,所以它必须是一个可以部署在多台计算机上…

chisel RegInit/UInt/U

val reg RegInit(0.U(8.W)) //ok val reg RegInit(0.UInt(8.W)) //errU 使用在数字 . 后边50.U UInt 使用在IO(new Bundle val a Input(UInt(8.W)) 或者 def counter(max:UInt, a1:UInt) package emptyimport chisel3._ import chisel3.util._class MyCounter extends …

Java技术栈 —— Hive与HBase

Java技术栈 —— Hive与HBase 一、 什么是Hive与HBase二、如何使用Hive与HBase?2.1 Hive2.1.1 安装2.1.2 使用2.1.2.1 使用前准备2.1.2.2 开始使用hive 2.2 HBase2.2.1 安装2.2.2 使用 三、Apache基金会 一、 什么是Hive与HBase 见参考文章。 一、参考文章或视频链…

神经网络激活函数到底是什么?

激活函数 其实不是很难啦,归结一下就是大概这样几个分类,详情请参考【神经网络】大白话直观理解!_哔哩哔哩_bilibili神经网络就是干这个事的~ 如果队伍不长,一个ykxb就可以了,如果 如果 队伍太长 就加一个激活函数也…

C语言函数递归详解

递归是什么&#xff1f; 递归&#xff0c;顾名思义&#xff0c;就是递推和回归。 递归是一种解决问题的方法&#xff0c;在C语言中&#xff0c;递归就是函数自己调用自己。 #include <stdio.h> int main() {printf("hehe\n");main();//main函数中⼜调⽤了main…

C++ 调用lua 脚本

需求&#xff1a; 使用Qt/C 调用 lua 脚本 扩展原有功能。 步骤&#xff1a; 1&#xff0c;工程中引入 头文件&#xff0c;库文件。lua二进制下载地址&#xff08;Lua Binaries&#xff09; 2&#xff0c; 调用脚本内函数。 这里调用lua 脚本中的process函数&#xff0c;并…

FFMPEG推流到B站直播

0、参考 ffmpeg安装参考小弟另外的一个博客&#xff1a;FFmpeg和rtsp服务器搭建视频直播流服务-CSDN博客推流参考&#xff1a;用ffmpeg 做24小时推流直播_哔哩哔哩_bilibili 一、获取b站直播码 点击开始直播后&#xff0c;会出现以下的画面 二、ffmpeg进行直播推流 ffmpeg -r…

const

当我们在c语言中碰到这么一种情况&#xff1a;我们现在有一个变量&#xff0c; 这个变量呢&#xff0c;我们指向访问它&#xff0c; 但不会修改它。但是又担心在后续的代码中不小心将它修改&#xff0c; 这种情况该怎么做呢&#xff1f;这种情况下可以使用const. 被const修饰的…

全套电气自动化样例图纸分享,使用SuperWorks自动化版免费设计软件!

今天给大家分享一套完备的电气自动化样例图纸&#xff0c;结构准确、内容清晰&#xff0c;适合初学者入门操作练习。 整套图纸包含图纸目录、原理图、端子列表、连接列表、元件列表、接线图&#xff0c;具有较高的参考价值&#xff0c;请大家点击自行下载文件&#xff01; 1e8…

springcloud-gateway升级版本allowedOrigins要改allowedOriginPatterns

前言 报错: java.lang.IllegalArgumentException: When allowCredentials is true,allowedOrigins cannot contain the special value "*"since that cannot be set on the "Access-Control-Allow-Origin"response header. To allow credentials to a se…

如何让虚拟机拥有愉快网络环境,vmware,ubuntu,centos

博客原文 文章目录 前言拥有愉快网络环境步骤:测试网关连接 Ubuntu修改 http 与 sock 代理地址修改 /etc/resolv.conf配置 apt 使用代理测试连接 Centos设置代理地址修改 NetworkManager最后重启网卡&#xff1a;测试代理 前言 相信计算机专业的同学在学习 linux 时, 一定会被无…

L1-027 出租-java

输入样例&#xff1a; 18013820100输出样例&#xff1a; int[] arr new int[]{8,3,2,1,0}; int[] index new int[]{3,0,4,3,1,0,2,4,3,4,4}; java import java.util.*;public class Main {public static void main(String[] args) {Scanner sc new Scanner(System.in);St…