蓝桥杯第八届省赛题笔记------基于单片机的电子钟程序设计与调试

题目要求:

一、基本要求

1.1 使用 CT107D 单片机竞赛板,完成“电子钟”功能的程序设计与调试;
1.2 设计与调试过程中,可参考组委会提供的“资源数据包”;
1.3 Keil 工程文件以准考证号命名,保存在以准考证号命名的考生文件夹中。

二、硬件框图

三、功能描述

3.1 初始化

1 )关闭蜂鸣器、继电器等无关外设;
2 )设备初始化时钟为 23 59 50 秒,闹钟提醒时间 0 0 0 秒。

3.2 显示功能

1) 时间显示格式
2) 温度显示格式

3.3 按键功能

1 )按键 S7 定义为“时钟设置”按键,通过该按键可切换选择待调整的
时、分、秒,当前选择的显示单元以 1 秒为间隔亮灭,时、分、秒
的调整需注意数据边界属性。
2 )按键 S6 定义为“闹钟设置”按键,通过该按键可进入闹钟时间设置
功能,数码管显示当前设定的闹钟时间。
3 )按键 S5 定义为“加”按键,在“时钟设置”或“闹钟设置”状态下,
每次按下该按键当前选择的单元(时、分或秒)增加 1 个单位。
4 )按键 S4 定义为“减”按键,在“时钟设置”或“闹钟设置”状态下,
每次按下该按键当前选择的单元(时、分或秒)减少 1 个单位。
5 )按键功能说明:
按键 S4 S5 的“加”、“减”功能只在“时钟设置”或“闹钟设置”
状态下有效;
在 “时钟显示”状态下,按下 S4 按键,显示温度数据,松开按键,
返回“时钟显示”界面。

3.4 闹钟提示功能

1 )指示灯 L1 0.2 秒为间隔闪烁,持续 5 秒钟;
2 )闹钟提示状态下,按下任意按键,关闭闪烁提示功能。

底层函数内容:


1.初始化底层驱动专用文件

比如先用3个IO口控制74HC138译码器,控制Y4为低电平;当Y4为低电平时,或非门74HC02控制Y4C为高电平,使74HC573的OE端口有效,OE端口有效时,可使用P0口控制LED的亮灭。
可以去多了解74HC138译码器,74HC02或非门,74HC573八路输出透明锁存器的相关内容会更好理解

#include <Init.h>

//关闭外设
void System_Init()
{
    P0 = 0xff;
    P2 = P2 & 0x1f | 0x80;
    P2 &= 0x1f;
    P0 = 0x00;
    P2 = P2 & 0x1f | 0xa0;
    P2 &= 0x1f;
}

//头文件

#include <STC15F2K60S2.H>
void System_Init();

2.Led底层驱动专用文件

与初始化底层驱动专用文件同理,需要了解对应的锁存器控制,可以在使用的芯片数据手册查看

#include <Led.h>

void Led_Disp(unsigned char addr,enable)
{
    static unsigned char temp = 0x00;
    static unsigned char temp_old = 0xff;
    if(enable)
        temp |= 0x01 << addr;
    else
        temp &= ~(0x01 << addr);
    if(temp != temp_old)
    {
        P0 = ~temp;
        P2 = P2 & 0x1f |0x80;
        P2 &= 0x1f;
        temp_old = temp;
    }
}

//头文件

#include <STC15F2K60S2.H>
void Led_Disp(unsigned char addr,enable);


3.按键底层驱动专用文件

(板子上的按键从按键4开始到按键19,可根据实际硬件修改)
 

#include "Key.h"
unsigned char Key_Read()
{
    unsigned char temp = 0;
    if(P33 == 0)temp = 4;
    if(P32 == 0)temp = 5;
    if(P31 == 0)temp = 6;
    if(P30 == 0)temp = 7;
    return temp;
}


//头文件
#include <STC15F2K60S2.H>

unsigned char Key_Read();

4.数码管底层驱动专用文件

(这个板子使用的为共阳数码管,若使用的为共阴数码管要更换对应的段码表和位选表;与初始化底层驱动专用文件同理,需要了解对应的锁存器控制,可以在使用的芯片数据手册查看)
 

#include <Seg.h>
code unsigned char Seg_Dula[] = 
{
0xc0, //0
0xf9, //1
0xa4, //2
0xb0, //3
0x99, //4
0x92, //5
0x82, //6
0xf8, //7
0x80, //8
0x90, //9
0xff,
//0x88, //A
//0x83, //b
//0xc6, //C
//0xa1, //d
//0x86, //E
//0x8e //F
0xbf, //-
0xc6
};
unsigned char Seg_Wela[] = {0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};
void Seg_Disp(unsigned char wela,dula,point)
{
    P0 = 0xff;
    P2 = P2 & 0x1f | 0xe0;
    P2 &= 0x1f;
    P0 = Seg_Wela[wela];
    P2 = P2 & 0x1f | 0xc0;
    P2 &= 0x1f;
    P0 = Seg_Dula[dula];
    if(point)
        P0 &= 0x7f;
    P2 = P2 & 0x1f | 0xe0;
    P2 &= 0x1f;
}


//头文件
#include <STC15F2K60S2.H>

void Seg_Disp(unsigned char wela,dula,point);

5.//温度底层驱动专用头文件

/*    #     单总线代码片段说明
    1.     本文件夹中提供的驱动代码供参赛选手完成程序设计参考。
    2.     参赛选手可以自行编写相关代码或以该代码为基础,根据所选单片机类型、运行速度和试题
        中对单片机时钟频率的要求,进行代码调试和修改。
*/
/*    #     单总线代码片段说明
    1.     本文件夹中提供的驱动代码供参赛选手完成程序设计参考。
    2.     参赛选手可以自行编写相关代码或以该代码为基础,根据所选单片机类型、运行速度和试题
        中对单片机时钟频率的要求,进行代码调试和修改。
*/

#include "onewire.h"
sbit DQ = P1^4;

//单总线内部延时函数

void Delay_OneWire(unsigned int t)  
{
    unsigned char i;
    while(t--){
        for(i=0;i<12;i++);
    }
}

//单总线写操作

void Write_DS18B20(unsigned char dat)
{
    unsigned char i;
    for(i=0;i<8;i++)
    {
        DQ = 0;
        DQ = dat&0x01;
        Delay_OneWire(5);
        DQ = 1;
        dat >>= 1;
    }
    Delay_OneWire(5);
}

//单总线读操作

unsigned char Read_DS18B20(void)
{
    unsigned char i;
    unsigned char dat;
  
    for(i=0;i<8;i++)
    {
        DQ = 0;
        dat >>= 1;
        DQ = 1;
        if(DQ)
        {
            dat |= 0x80;
        }        
        Delay_OneWire(5);
    }
    return dat;
}

//DS18B20初始化

bit init_ds18b20(void)
{
      bit initflag = 0;
      
      DQ = 1;
      Delay_OneWire(12);
      DQ = 0;
      Delay_OneWire(80);
      DQ = 1;
      Delay_OneWire(10); 
    initflag = DQ;     
      Delay_OneWire(5);
  
      return initflag;
}

//函数名:读取温度函数

//入口参数:无
//函数功能:完成温度转换,并返回转换之后的温度数据

 

float Read_Temperature()
{
    unsigned char high,low;//返回温度数据的低八位和高八位
    init_ds18b20();//初始化
    Write_DS18B20(0xcc);//跳过ROM
    Write_DS18B20(0x44);//开始温度转换
    init_ds18b20();//初始化
    Write_DS18B20(0xcc);//跳过ROM
    Write_DS18B20(0xbe);//读取温度
    low = Read_DS18B20();//读取低位
    high = Read_DS18B20();//读取高位
    return ((high << 8) |low) / 16.0;//返回温度保留后两位精度数据

}


//头文件
#include <STC15F2K60S2.H>

float Read_Temperature();

6.//时钟底层驱动专用头文件

/*    #     DS1302代码片段说明
    1.     本文件夹中提供的驱动代码供参赛选手完成程序设计参考。
    2.     参赛选手可以自行编写相关代码或以该代码为基础,根据所选单片机类型、运行速度和试题
        中对单片机时钟频率的要求,进行代码调试和修改。

*/    
#include "ds1302.h"
#include <intrins.h>

//引脚定义

sbit SCK = P1^7;
sbit SDA = P2^3;
sbit RST = P1^3;

//写字节

void Write_Ds1302(unsigned  char temp) 
{
    unsigned char i;
    for (i=0;i<8;i++)         
    { 
        SCK = 0;
        SDA = temp&0x01;
        temp>>=1; 
        SCK=1;
    }
}   


//向DS1302寄存器写入数据

void Write_Ds1302_Byte( unsigned char address,unsigned char dat )     
{
     RST=0;    _nop_();
     SCK=0;    _nop_();
     RST=1;     _nop_();  
     Write_Ds1302(address);    
     Write_Ds1302(dat);        
     RST=0; 
}

//从DS1302寄存器读出数据

unsigned char Read_Ds1302_Byte ( unsigned char address )
{
     unsigned char i,temp=0x00;
     RST=0;    _nop_();
     SCK=0;    _nop_();
     RST=1;    _nop_();
     Write_Ds1302(address);
     for (i=0;i<8;i++)     
     {        
        SCK=0;
        temp>>=1;    
         if(SDA)
         temp|=0x80;    
         SCK=1;
    } 
     RST=0;    _nop_();
     SCK=0;    _nop_();
    SCK=1;    _nop_();
    SDA=0;    _nop_();
    SDA=1;    _nop_();
    return (temp);            
}

//设置时钟,可以根据数据手册调整要设置的时钟状态,这里设置的为时分秒

void Set_Rct(unsigned char*ucRct)//unsigned char* ucRtc指向我存放时分秒的数组指针
{
    unsigned char i;

    Write_Ds1302_Byte(0x8e,0x00);
    for(i=0;i<3;i++)
    Write_Ds1302_Byte(0x84-2*i,ucRct[i]);
    Write_Ds1302_Byte(0x8e,0x80);
}

//读取时钟

void Read_Rct(unsigned char*ucRct)
{
    unsigned char i;
    for(i=0;i<3;i++)
    ucRct[i] = Read_Ds1302_Byte(0x85-2*i);
}


//头文件
#include <STC15F2K60S2.H>

void Write_Ds1302(unsigned  char temp) ;
void Write_Ds1302_Byte( unsigned char address,unsigned char dat );
unsigned char Read_Ds1302_Byte ( unsigned char address );
void Set_Rct(unsigned char*ucRct);
void Read_Rct(unsigned char*ucRct);

工程主函数内容:

1.头文件声明(把需要用到的头文件添加进来)

//头文件声明区
#include <STC15F2K60S2.H>//单片机寄存器专用头文件
#include "Init.h"//初始化底层驱动专用头文件
#include "Led.h"//Led底层驱动专用头文件
#include "Key.h"//按键底层驱动专用头文件
#include "Seg.h"//数码管底层驱动专用头文件
#include "ds1302.h"//时钟底层驱动专用头文件
#include "onewire.h"//温度底层驱动专用头文件

2.变量声明(把需要用到的所有变量现在这里进行声明)

//变量声明区
unsigned char Key_Val,Key_Old,Key_Down,Key_Up;//按键专用变量
unsigned char Key_Slow_Down;//按键减速专用变量
unsigned char Seg_Pos;//数码管扫描专用变量
unsigned char Seg_Slow_Down;//数码管减速专用变量
unsigned char Seg_Buf[8] = {10,10,10,10,10,10,10,10};//数码管显示数据存放数组
unsigned char Seg_Point[8] = {0,0,0,0,0,0,0,0};//数码管小数点数据存放数组
unsigned char ucLed[8] = {0,0,0,0,0,0,0,0};//Led显示数据存放数组

unsigned char Seg_Disp_Mode;//数码管显示模式变量 0-时间相关 1-温度相关
unsigned char Seg_Index;//时间相关显示内容 0-时钟显示 1-时钟设置 2-闹钟设置
unsigned char ucRct[3] = {0x23,0x59,0x50};//实时时钟数据数组 上电默认时间23:59:55
unsigned char ucRct_Set[3] = {0x23,0x59,0x50};//时钟数据设置数组
unsigned char ucRct_Index;//时钟设置数组指针
unsigned int Timer_500ms;//五百毫秒计时变量
bit Seg_Star_Flag;//数码管闪烁标志位
unsigned char Alarm[3] = {0x00,0x00,0x00};//闹钟数据储存数组
unsigned char Alarm_Set[3] = {0x00,0x00,0x00};//闹钟数据设置数组
unsigned char* Data_Flag[3] = {ucRct,ucRct_Set,Alarm_Set};//简化程序专用指针数组
bit Beep_Flag;//闹钟使能标志位 0-不使能 1-使能
unsigned int Timer_200ms;//两百毫秒计时变量
bit Led_Star_Flag;//Led闪烁标志位
unsigned char Temperature;//实时温度储存变量

3.按键处理函数(在这里编写按键控制的函数)

//键盘处理函数
void Key_Proc()
{
    unsigned char i;//For循环专用变量
    if(Key_Slow_Down)return;
    Key_Slow_Down = 1;//键盘减速程序
    Key_Val = Key_Read();//实时读取键码值
    Key_Down = Key_Val & (Key_Val ^ Key_Old);//捕捉按键下降沿
    Key_Up = ~ Key_Val & (Key_Val ^ Key_Old);//捕捉按键上升沿
    Key_Old = Key_Val;//辅助扫描变量
    
    if(Beep_Flag == 1)//闹钟使能状态
    {
        if(Key_Down != 0)//按下任意按键
            Beep_Flag = 0;//关闭闹钟
        return;//跳出按键子程序 避免执行下面的语句
    }
    if(Seg_Index == 0)//处于非设置界面
    {
        if(Key_Old == 4)
            Seg_Disp_Mode = 1;
        else
            Seg_Disp_Mode = 0;
    }
    switch (Key_Down)
    {
        case 7://时钟设置
            if(Seg_Disp_Mode == 0)//处于时钟相关界面
            {
                if(Seg_Index == 0)//处于时钟显示界面
                {
                    for(i=0;i<3;i++)
                        ucRct_Set[i] = ucRct[i];//读取实时数据
                    Seg_Index = 1;//切换到时钟设置界面
                }
                else if(Seg_Index == 1)//处于时钟设置界面
                {
                    if(++ucRct_Index == 3)
                    {
                        Set_Rct(ucRct_Set);//保存时钟数据
                        ucRct_Index = 0;//切换到时钟显示界面
                        Seg_Index = 0;//指针复位
                    }
                }
            }
            break;
        case 6://闹钟设置
            if(Seg_Disp_Mode == 0)//处于时钟相关界面
            {
                if(Seg_Index == 0)//处于时钟显示界面
                    Seg_Index = 2;//切换到闹钟设置界面
                else if(Seg_Index == 2)//处于闹钟设置界面
                {
                    if(++ucRct_Index == 3)
                    {
                        for(i=0;i<3;i++)
                        Alarm[1] = Alarm_Set[i];//保存闹钟数据
                        ucRct_Index = 0;//切换到时钟显示界面
                        Seg_Index = 0;//指针复位
                    }
                }
            }
            break;
        case 5://参数自加
            if(Seg_Disp_Mode == 0)//时钟相关
            {
//                if(Seg_Index == 1)//设置时钟
//                {
//                    ucRct_Set[ucRct_Index]++;
//                    if(ucRct_Set[ucRct_Index] % 16 == 0x0a)//16进制 (9+1)=A
//                        ucRct_Set[ucRct_Index] += 6;//16进制(A+6)=10
//                    if(ucRct_Set[ucRct_Index] == (ucRct_Index?0x60:0x24))//使用BCD码的形式存储,用十六进制计算显示
//                        ucRct_Set[ucRct_Index] = (ucRct_Index?0x59:0x23);
//                }
                Data_Flag[Seg_Index][ucRct_Index]++;
                if(Data_Flag[Seg_Index][ucRct_Index] % 16 == 0x0a)//16进制 (9+1)=A //使用BCD码的形式存储,用十六进制计算显示
                    Data_Flag[Seg_Index][ucRct_Index] += 6;//16进制(A+6)=10
                if(Data_Flag[Seg_Index][ucRct_Index] == (ucRct_Index?0x60:0x24))//设置上限
                    Data_Flag[Seg_Index][ucRct_Index] = (ucRct_Index?0x59:0x23);
                    
            }
            break;
            case 4:
            if(Seg_Disp_Mode == 0)//时钟相关
            {
//                if(Seg_Index == 1)//设置时钟
//                {
//                    ucRct_Set[ucRct_Index]--;
//                    if(ucRct_Set[ucRct_Index] % 16 == 0x0f)//16进制 (10-1)=F //BCD码需要手动进行十进制进位
//                        ucRct_Set[ucRct_Index] -= 6;//16进制 (F-6)=9
//                    if(ucRct_Set[ucRct_Index] == 0xf9)//16进制(0-7)=FFFF FFFF FFFF FFF9 取最后两位
//                        ucRct_Set[ucRct_Index] = 0;
//                }
                Data_Flag[Seg_Index][ucRct_Index]--;
                if(Data_Flag[Seg_Index][ucRct_Index] % 16 == 0x0f)//16进制 (10-1)=F //BCD码需要手动进行十进制进位
                    Data_Flag[Seg_Index][ucRct_Index] -= 6;//16进制 (F-6)=9
                if(Data_Flag[Seg_Index][ucRct_Index] == 0xf9)//16进制(0-7)=FFFF FFFF FFFF FFF9 取最后两位 //设置下限
                    Data_Flag[Seg_Index][ucRct_Index] = 0;
                
            }
            break;
    }
}

4.信息处理函数(需要使用到到的函数进行简单的预处理)

//信息处理函数
void Seg_Proc()
{
    unsigned char i;//For循环专用变量
    if(Seg_Slow_Down)return;
    Seg_Slow_Down = 1;//数码管减速程序
    
    //数据读取区域
    Read_Rct(ucRct);//实时读取时钟数据
    Temperature = Read_Temperature();//实时读取温度数据
    //数据显示区域
//    if(Seg_Disp_Mode == 0)//时钟  
//        switch (Seg_Index)
//        {
//            case 0://时钟显示
//                Seg_Buf[2] = Seg_Buf[5] = 11;
//                for(i=0;i<3;i++)
//                {
//                    Seg_Buf[3*i] = ucRct[i] / 16;
//                    Seg_Buf[3*i+1] = ucRct[i] % 16;
//                }
//                break;
//            case 1://时钟设置
//                Seg_Buf[2] = Seg_Buf[5] = 11;
//                for(i=0;i<3;i++)
//                {
//                    Seg_Buf[3*i] = ucRct_Set[i] / 16;
//                    Seg_Buf[3*i+1] = ucRct_Set[i] % 16;
//                }
//                Seg_Buf[3*ucRct_Index] = Seg_Star_Flag?10:ucRct_Set[ucRct_Index] / 16;
//                Seg_Buf[3*ucRct_Index+1] = Seg_Star_Flag?10:ucRct_Set[ucRct_Index] % 16;
//                break;
//        }
/* 
            遇到在某个状态下显示格式不变 
            但是显示数据数组需要发生改变时
            可以将这些数组按照顺序放入一个指针数组内
            然后通过访问指针数组达到简化程序的目的
        */
        if(Seg_Disp_Mode == 0)//时钟
        {
            Seg_Buf[2] = Seg_Buf[5] = 11;
            for(i=0;i<3;i++)
                {
                    Seg_Buf[3*i] = Data_Flag[Seg_Index][i] / 16;
                    Seg_Buf[3*i+1] = Data_Flag[Seg_Index][i] % 16;
                }
                if(Seg_Index >0)//闪烁使能
                {
                    Seg_Buf[3*ucRct_Index] = Seg_Star_Flag?10:Data_Flag[Seg_Index][ucRct_Index] / 16;
                    Seg_Buf[3*ucRct_Index+1] = Seg_Star_Flag?10:Data_Flag[Seg_Index][ucRct_Index] % 16;
                }
        }
        else//温度
        {
            for(i=0;i<5;i++)
                Seg_Buf[i] = 10;
            Seg_Buf[5] = Temperature / 10;
            Seg_Buf[6] = Temperature % 10;
            Seg_Buf[7] = 12;
        }
}

5.其他函数(其他编写的函数,在这里书写会比较方便理解)

//其他函数
void Led_Prov()
{
    if(Alarm[0] == ucRct[0] && Alarm[1] == ucRct[1] && Alarm[2] == ucRct[2])//闹钟使能
        Beep_Flag = 1;
    if((ucRct[2] % 16)== (Alarm[2] % 16 + 5))//过五秒后
        Beep_Flag = 0;    
    ucLed[0] = Led_Star_Flag & Beep_Flag;//只有在闹钟使能条件下闪烁 //char 和bit 不能相乘,所以把*改成&
}


6.定时器中断初始化函数

(这个可以使用STC的定时器计算那里生成c代码,后面要自己添加ET0,EA打开中断)
//定时器中断初始化函数
void Timer0Init(void)        //1毫秒@12.000MHz
{
    AUXR &= 0x7F;        //定时器时钟12T模式
    TMOD &= 0xF0;        //设置定时器模式
    TL0 = 0x18;        //设置定时初值
    TH0 = 0xFC;        //设置定时初值
    TF0 = 0;        //清除TF0标志
    TR0 = 1;        //定时器0开始计时
    ET0 = 1;        //定时器中断0打开
    EA = 1;             //总中断打开
}

7.定时器1中断服务函数

(为了定时执行特定的任务,如此处设置了定时的时间触发了数码管和LED产生特定反应)//中断在测试时可以先注释掉,但是这里按键状态有延时,测试按键时可以解除注释

//定时器中断服务函数
void Timer0server()interrupt 1
{
    if(++Key_Slow_Down == 10)Key_Slow_Down = 0;//键盘减速专用
    if(++Seg_Slow_Down == 200)Seg_Slow_Down = 0;//数码管减速时间过长有时候会影响数码管的刷新,有问题的时候减短减速时间
    if(++Seg_Pos == 8)Seg_Pos = 0;//数码管显示专用
    Seg_Disp(Seg_Pos,Seg_Buf[Seg_Pos],Seg_Point[Seg_Pos]);
    Led_Disp(Seg_Pos,ucLed[Seg_Pos]);
    if(++Timer_500ms == 500)
    {
        Timer_500ms = 0;
        Seg_Star_Flag ^= 1;
    }
    if(++Timer_200ms == 200)
    {
        Timer_200ms = 0;
        Led_Star_Flag ^= 1;
    }
}


8.主函数Main(调用书写的函数实现所需的相应功能)

//Main
void main()
{   
    Set_Rct(ucRct);//上电初始化实时时钟
    Timer0Init();
    Sys_Init();
    while(1)
    {
        Key_Proc();
        Seg_Proc();
        Led_Prov();
    }
}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/378730.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

C语言系列-文件操作

&#x1f308;个人主页: 会编程的果子君 ​&#x1f4ab;个人格言:“成为自己未来的主人~” 为什么使用文件 如果没有文件&#xff0c;我们写的程序的数据是存储在电脑的内存上&#xff0c;如果程序退出&#xff0c;内存回收&#xff0c;数据就会丢失了&#xff0c;等再次运行…

MySQL 日志管理

4.6&#xff09;日志管理 MySQL 支持丰富的日志类型&#xff0c;如下&#xff1a; 事务日志&#xff1a;transaction log 事务日志的写入类型为 "追加"&#xff0c;因此其操作为 "顺序IO"&#xff1b; 通常也被称为&#xff1a;预写式日志 write ahead…

[职场] 服务行业个人简历 #笔记#笔记

服务行业个人简历 服务员个人简历范文1 姓名: XXX国籍:中国 目前所在地:天河区民族:汉族 户口所在地:阳江身材: 160cm43kg 婚姻状况:未婚年龄: 21岁 培训认证:诚信徽章: 求职意向及工作经历 人才类型:普通求职 应聘职位: 工作年限:职称:初级 求职类型:全职可到职日期:随时 月薪…

住宅供暖设备行业调研:市场环境将稳定发展阶段中

为使人们生活或进行生产的空间保持在适宜的热状态而设置的供热设施。 向一定的空间加热量的办法&#xff0c;可以直接把产生热量的火炉装在其中;也可以抽出其中的空气&#xff0c;加热后再送回;也可以在其中装置保持在较高温度的物体&#xff0c;向所在空间放热。这种温度较高的…

极值图论基础

目录 一&#xff0c;普通子图禁图 二&#xff0c;Turan问题 三&#xff0c;Turan定理、Turan图 1&#xff0c;Turan定理 2&#xff0c;Turan图 四&#xff0c;以完全二部图为禁图的Turan问题 1&#xff0c;最大边数的上界 2&#xff0c;最大边数的下界 五&#xff0c;…

机器人学、机器视觉与控制 上机笔记(第一版译文版 2.1章节)

机器人学、机器视觉与控制 上机笔记&#xff08;第一版译文版 2.1章节&#xff09; 1、前言2、本篇内容3、代码记录3.1、新建se23.2、生成坐标系3.3、将T1表示的变换绘制3.4、完整绘制代码3.5、获取点*在坐标系1下的表示3.6、相对坐标获取完整代码 4、结语 1、前言 工作需要&a…

【前端web入门第四天】03 显示模式+综合案例热词与banner效果

文章目录: 1. 显示模式 1.1 块级元素,行内元素,行内块元素 1.2 转换显示模式 综合案例 综合案例一 热词综合案例二 banner效果 1. 显示模式 什么是显示模式 标签(元素)的显示方式 标签的作用是什么? 布局网页的时候&#xff0c;根据标签的显示模式选择合适的标签摆放内容。…

编码安全风险是什么,如何进行有效的防护

2011年6月28日晚20时左右&#xff0c;新浪微博突然爆发XSS&#xff0c;大批用户中招&#xff0c;被XSS攻击的用户点击恶意链接后并自动关注一位名为HELLOSAMY的用户&#xff0c;之后开始自动转发微博和私信好友来继续传播恶意地址。不少认证用户中招&#xff0c;也导致该XSS被更…

21、数据结构/单向循环链表练习20240203

一、请编程实现单向循环链表的头插&#xff0c;头删、尾插、尾删。 二、请编程实现单向循环链表约瑟夫环 约瑟夫环&#xff1a;用循环链表编程实现约瑟夫问题 n个人围成一圈&#xff0c;从某人开始报数1, 2, …, m&#xff0c;数到m的人出圈&#xff0c;然后从出圈的下一个人…

pytorch入门第一天

今天作为入门pytorch的第一天。打算记录每天学习pytorch的一些理解和笔记&#xff0c;以用来后面回顾。当然如果能帮到和我一样的初学者&#xff0c;那也是不胜荣幸。作为一名初学者&#xff0c;难免有些地方会现错误&#xff0c;欢迎各位大佬指出 预备知识 这里主要介绍pyto…

gtkmm4 应用程序使用 CSS 样式

文章目录 前言css选择器css文件示例源代码效果 前言 程序样式和代码逻辑分离开 使代码逻辑更可观 css选择器 Cambalache提供了两种css-classes 相当于css里的类名:class“类名”css-name 相当于css里的标签名:spin div p 啥的 如上我设置了这个按钮控件的类名为testButton 标…

基于Chrome插件的Chatgpt对话无损导出markdown格式(Typora完美显示)

刚刚提交插件到Chrome插件商店正在审核&#xff0c;想尝试的可以先使用&#xff1a; https://github.com/thisisbaiy/ChatGPT-To-Markdown-google-plugin/tree/main 我将源代码上传至了GitHub&#xff0c;欢迎star, IssueGoogle插件名称为&#xff1a;ChatGPT to MarkDown plus…

元宇宙虚拟数字人实训室:推动高校培养创新技术人才

随着元宇宙时代的到来&#xff0c;虚拟数字人技术逐渐成为当下火热的产业赛道之一。虚拟数字人涉及了计算机、数字媒体、市场营销等学科技术领域&#xff0c;高校可以通过搭建元宇宙虚拟数字人实训室&#xff0c;有效培养对元宇宙行业的专业化理解和研究能力的专业型创新人才。…

Python中的数据类型

如果说python中的数据类型,那我们要从标准数据类型说起,在python中标准数据类型如下: 数字类型: 数字数据类型用于存储数值。 他们是不可改变的数据类型&#xff0c;这意味着改变数字数据类型会分配一个新的对象。 在python2.X中数据类型分的比较多,有int(有符号整型),long(…

原生JS使用PrintJs进行表格打印 -- 遇到的问题总结

需求1&#xff1a;表格自动分页之后&#xff0c;表头在每一页都需要显示 html中表头增加 thead 标签 css样式新增&#xff1a; thead {display: table-header-group; /* 这个属性使thead总是在新的page-break之后重新开始 */ }需求2&#xff1a;表格自动分页之后&#xff0c;…

MySQL优化器

优化器 MySQL存储引擎中存在了一个可插拔的优化器OPTIMIZER_TRACE&#xff0c;可以看到内部查询计划的TRACE信息&#xff0c;从而可以知道MySQL内部执行过程 查询优化器状态 show variables like optimizer_trace;Variable_name Valueoptimizer_trace enabledoff,one_lineoff…

【数据结构】二叉树的顺序结构及链式结构

目录 1.树的概念及结构 1.1树的概念 1.2树的相关概念 ​编辑 1.3树的表示 1.4树在实际中的运用&#xff08;表示文件系统的目录树结构&#xff09; 2.二叉树概念及结构 2.1二叉树的概念 2.2现实中的二叉树 ​编辑 2.3特殊的二叉树 2.4二叉树的性质 2.5二叉树的存储结…

2023爱分析·通用大模型市场厂商评估报告:出门问问

01 研究范围定义 研究范围&#xff1a; 大模型是指通过在海量数据上依托强大算力资源进行训练后能完成大量不同下游任务的模型。2023年以来&#xff0c;ChatGPT引爆全球大模型市场。国内众多大模型先后公测&#xff0c;众多互联网领军者投身大模型事业&#xff0c;使得大模型…

微信小程序(三十八)滚动容器

注释很详细&#xff0c;直接上代码 上一篇 新增内容&#xff1a; 1.滚动触底事件 2.下拉刷新事件 源码&#xff1a; index.wxml <view class"Area"> <!-- scroll-y 垂直滚动refresher-enabled 允许刷新bindrefresherrefresh 绑定刷新作用函数bindscrollto…

SolidWorks的抽壳

抽壳在建模的时候是比较常见的要求&#xff0c;这里废话不多说&#xff0c;直接开始实例操作。 文章目录 一、规则实体抽壳2、多面抽壳3、空心化抽壳 二、椎体抽壳三、不规则实体抽壳1、不规则实体2、部分实体抽壳 文章随时可能更新&#xff0c;请关注文章原出处&#xff1a; …