时钟域交叉设计——Clock Domain Crossing Design

What is Metastability?

任何关于时钟域交叉(CDC)的讨论,都应从对可变性和同步性的基本了解开始。通俗地说,可变性是指一种不稳定的中间状态,在这种状态下,最轻微的干扰也会导致稳定状态的恢复。当应用于数字电路中的触发器时,它指的是触发器的输出可能尚未稳定到最终预期值的状态。

触发器进入可变状态的方式之一是其设置或保持时间受到破坏。在异步时钟域交叉(CDC)中,源时钟和目的时钟没有频率关系,来自源域的信号在其驱动的目的触发器的设置或保持时间内发生变化的概率为非零。当目标触发器的输出发生偏移,并且在其输出必须再次采样(由目标域的下一个触发器采样)时没有收敛到合法状态时,就会发生同步失败。更糟糕的是,下一个触发器也可能发生失稳,导致失稳在整个设计中传播!

Synchronizers for Clock Domain Crossing (CDC)

同步器是一种电路,其目的是将同步失败的概率降至最低。我们希望在一个同步周期(目标时钟周期)内解决失稳问题,这样就能安全地对目标时钟域中的触发器输出进行采样。我们可以计算同步器的故障率,这就是所谓的平均故障间隔时间 (MTBF)。

无需深入计算,我们可以得出这样的结论:在时钟域交叉(CDC)中出现瞬变态的概率与以下因素成正比:

  • 目标域的频率
  • 跨越时钟边界的数据速率

Two flip-flop synchronizer

在这里插入图片描述
最基本的同步器是两个串联的触发器,都由目标时钟提供时钟。这种简单而不起眼的电路被称为双触发器同步器。如果输入数据的变化非常接近接收时钟边沿(在设置/保持时间内),同步器中的第一个触发器可能会失稳,但在第二个触发器采样之前,信号仍有一个完整的时钟周期来保持稳定。然后,目的域逻辑使用第二个触发器的输出。理论上,信号在时钟进入第二个触发器时(每 MTBF 年)仍有可能是不稳定的。在这种情况下,同步失效就会发生,设计很可能会失灵。

两个触发器同步器足以满足许多应用的需要。非常高速的设计可能需要三个触发器同步器来提供足够的 MTBF。为了进一步提高 MTBF,有时会使用具有更好设置/保持时间特性的快速库单元(低阈值电压)来构建两个触发器同步器。

将信号源信号注册到同步器中

一般来说,好的做法是在源时钟域中注册信号,然后再将其穿过时钟域交叉(CDC)送入同步器。这样可以消除组合间隙,而组合间隙会有效提高数据穿越时钟边界的速率,从而降低同步器的平均无故障时间(MTBF)。

Synchronizing Slow Signals Into Fast Clock Domain

最简单的情况是从慢时钟域向快时钟域传递信号。只要较快的时钟频率大于慢时钟频率的 1.5 倍,一般就不会有问题。快速目标时钟只需对慢速信号进行多次采样。在这种情况下,一个简单的双触发器同步器就足够了。

如果快时钟的频率小于慢时钟频率的 1.5 倍,则可能存在潜在问题,应采用下一节中的解决方案之一。

Synchronizing Fast Signals Into Slow Clock Domain

当然,更困难的情况是将快速信号传入慢速时钟域。显而易见的问题是,如果快速信号上的脉冲短于慢时钟的周期,那么在慢时钟采样之前,脉冲就会消失。下面的波形显示了这种情况。
在这里插入图片描述
一个不太明显的问题是,即使脉冲比慢时钟周期稍宽,信号也可能在目标触发器(慢时钟)的设置/保持时间内发生变化,从而违反定时并导致不稳定性。

Single bit — two flip-flop synchronizer

简单的双触发器同步器是跨越时钟域传递信号的最快方法。在许多应用中,只要在快速时钟域中产生的信号比慢速时钟的周期时间宽,这种方法就足够了。例如,如果只需要同步一个缓慢变化的状态信号,这种方法就可以奏效。一个安全的经验法则是,信号的宽度必须大于目标时钟周期宽度的 1.5 倍(马克-利特里克(Mark Litterick)关于时钟域交叉验证的论文中提出的 "三个接收时钟边沿 "要求)。这保证了信号至少会被目标时钟的一个(但可能更多)时钟边沿采样。使用 SystemVerilog 断言 (SVA) 可以轻松检查该要求。

当源点和目标点的相对时钟频率固定时,1.5 倍周期宽度很容易执行。但在现实世界中,情况并非如此。在我参与的一个内存控制器设计中,目标时钟可以有三种不同的频率,它们可以更快/更慢/与源时钟相同。在这种情况下,要设计时钟域交叉信号以满足最慢目标时钟的 1.5 倍周期宽度并非易事。

Single bit — synchronizer with feedback acknowledge

带反馈确认功能的同步器涉及的内容稍多,但并不多。下图说明了它的工作原理。

在这里插入图片描述
源时钟域通过一个双触发器同步器将信号发送到目标时钟域,然后通过另一个双触发器同步器将同步信号传回源时钟域作为反馈确认。下图显示了同步器的波形。

在这里插入图片描述
这种解决方案非常安全,但代价是在允许信号再次变化之前要进行双向同步,从而增加了延迟。在我的内存控制器设计中,这种解决方案可以处理不同的时钟频率关系。

Conclusion

尽管我们都希望生活在一个纯同步的世界,但在实际应用中,你无疑会遇到需要多个异步时钟的设计。本文介绍了两种通过时钟域交叉(CDC)传递单个控制信号的基本技术。时钟域交叉 (CDC) 逻辑错误难以捉摸,而且极难调试,因此必须从一开始就正确设计同步逻辑!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/432780.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

QT----QTcreater连接Mysql数据库

目录 1、下载驱动,放入文件夹2、编写代码,实现本地访问3、实现网络数据库3.1 更改权限3.2 修改代码 之前写了一个图书管理系统用的是sqlite3,现在想用mysql,部署到网上,实现远程访问。 1、下载驱动,放入文…

Draft-P802.11be-D3.2协议学习__$Annex-Z-HE-SIG-B-and-EHT-SIG-content-examples

Draft-P802.11be-D3.2协议学习__$Annex-Z-HE-SIG-B-and-EHT-SIG-content-examples Z.1 GeneralZ.2 HE-SIG-B example 1Z.3 HE-SIG-B example 2Z.4 HE-SIG-B example 3Z.5 HE-SIG-B example 4Z.6 EHT-SIG example 1(EHT OFDMA 80MHz)Z.7 EHT-SIG example …

虚假交易商常态化,2月下半月FX110曝光43家黑平台

以半个月为期,FX110网对虚假交易商进行常态化曝光,只为极力打压黑平台生存空间,让更多人的避免被骗。 2月上半月,FX110网曝光黑平台41家,下半月曝光数与上半月基本持平,为43家。 曝光的这43家黑平台绝大部…

Win UI3开发笔记(四)设置主题续2

本机深色主题下设置的背景颜色可以作用于整个对话框,本机浅色模式下设置的背景颜色只作用与下边的部分。 如果本机选深色,程序选浅色,设置为light只对上部分管用,下部分不管用。如图,左边那个hello按钮要看不见了。。…

LeetCode:1976. 到达目的地的方案数(spfa + 记忆化 Java)

目录 1976. 到达目的地的方案数 原题链接 题目描述: 实现代码与解析: spfa 记忆化 原理思路: 1976. 到达目的地的方案数 原题链接 1976. 到达目的地的方案数 题目描述: 你在一个城市里,城市由 n 个路口组成&a…

RabbitMQ 高级

在昨天的练习作业中,我们改造了余额支付功能,在支付成功后利用RabbitMQ通知交易服务,更新业务订单状态为已支付。 但是大家思考一下,如果这里MQ通知失败,支付服务中支付流水显示支付成功,而交易服务中的订单…

【mogoose】对查询的数据进行过滤后不需要展示的信息

数据库结构如下 我只要email userName sex role 几个数据,其余不要 {_id: new ObjectId(65e7b6df8d06a0623fa899f5),email: 12345qq.com,pwd: $2a$10$eLJ9skKEsQxvzHf5X8hbaOXKtg8GCHBeieieSN6Usu17D2DPaI44i,userName: 默认昵称0769,sex: 0,token: {upCount: 0,_…

『python爬虫』ip代理池使用 协采云 账密模式(保姆级图文)

目录 实现效果实现思路代码示例总结 欢迎关注 『python爬虫』 专栏,持续更新中 欢迎关注 『python爬虫』 专栏,持续更新中 实现效果 在官网原版demo基础上小改了一下,修正了接口错误(把2023改成2024就可以了),原版demo只能测试单个ip,我这里批量测试所有…

探索设计模式的魅力:深入解析解释器模式-学习、实现与高效使用的全指南

​🌈 个人主页:danci_ 🔥 系列专栏:《设计模式》 💪🏻 制定明确可量化的目标,并且坚持默默的做事。 探索设计模式的魅力:解析解释器模式学习、实现与高效使用全指南 文章目录 一、案…

maven中dependencyManagement

如果所在pom中dependency引入的依赖没有指定版本号&#xff0c;会以pom中dependencyManagement所制定的版本号为准吗 是的&#xff0c;如果在项目的 <dependency> 元素中没有指定版本号&#xff0c;而且该依赖在 <dependencyManagement> 中有指定版本号&#xff0…

如何从 WordPress 中的静态资源中删除查询字符串

今天有一个客户来问询&#xff0c;hostease主机创建的WordPress站点&#xff0c;在GTMetrix或Pingdom进行网站速度测试&#xff0c;看到有关查询字符串的警告。如果不想看到查询字符串的警告&#xff0c;要如何处理呢?我们测试&#xff0c;可以通过一些处理满足这个需求。我们…

multiprocessing Event实现中断进程或程序

参考&#xff1a;https://www.cnblogs.com/MoKinLi/p/17931515.html import multiprocessing import timedef worker(event, value):while True:# 检查事件是否被设置if event.is_set():# 事件被设置&#xff0c;中断程序break# 模拟工作time.sleep(1)print(f"Working: {…

DataGrip 连接 Centos MySql失败

首先检查Mysql是否运行&#xff1a; systemctl status mysqld &#xff0c; 如果显示没有启动则需要启动mysql 检查防火墙是否打开&#xff0c;是否打开3306的端口 sudo firewall-cmd --list-all 如果下面3306没有打开则打开3306端口 publictarget: defaulticmp-block-inver…

消防监督业务比武竞赛活动方案

为检验各级消防救援机构消防监督业务大练兵成效&#xff0c;根据总队防火业务练兵活动总体部署&#xff0c;决定举办“云岭蓝盾”杯消防监督业务比武竞赛&#xff0c;方案如下&#xff1a; 决赛设置打铁还需自身硬、实践真知显担当、鱼跃龙门展风采3个环节。利用比武竞赛平台设…

设计模式:策略模式 ⑥

一、策略模式思想 简介 策略模式&#xff08;Strategy Pattern&#xff09;属于对象的行为模式。其用意是针对一组算法&#xff0c;将每一个算法封装到具有共同接口的独立的类中&#xff0c;从而使得它们可以相互替换。策略模式使得算法可以在不影响到客户端的情况下发生变化。…

【比较mybatis、lazy、sqltoy、mybatis-flex、easy-query、mybatis-mp操作数据】操作批量新增、分页查询(四)

orm框架使用性能比较 比较mybatis、lazy、sqltoy、mybatis-flex、easy-query、mybatis-mp操作数据 环境&#xff1a; idea jdk17 spring boot 3.0.7 mysql 8.0测试条件常规对象 orm 框架是否支持xml是否支持 Lambda对比版本编码方式mybatis☑️☑️3.5.4lambda xml 优化sq…

E33NCHA-LNN-NS-00主要特点

E33NCHA-LNN-NS-00是一款由Kollmorgen公司生产的高性能伺服电机&#xff0c;专门设计用于对运动系统进行精确控制。 以下是这款伺服电机的一些主要特点&#xff1a; 高扭矩密度&#xff1a;该电机能够在其尺寸下提供较大的扭矩输出&#xff0c;这对于空间有限的应用场合尤为重…

护眼灯哪个牌子好?热门护眼台灯测评对比:明基/书客/柏曼,速度戳进来了解!

近年来&#xff0c;市场上出现了大量新型护眼台灯&#xff0c;让消费者在面对众多选择时感到困惑。在选购护眼台灯的时候&#xff0c;我们需要谨慎考虑&#xff0c;有些护眼台灯因为不合格&#xff0c;在使用过程中发热可能会产生有毒物质&#xff0c;对健康造成不良影响。那么…

二维码门楼牌管理系统应用场景:市政工程与建筑领域的新宠

文章目录 前言一、简化建筑许可证审批过程二、确保建筑物门牌数据准确三、提升城市管理效率和服务水平四、促进智慧城市建设与发展 前言 随着科技的进步&#xff0c;二维码技术已逐渐渗透到我们生活的方方面面。在城市管理中&#xff0c;二维码门楼牌管理系统的应用正变得日益…

【探索AI】二十五 深度学习之第8周:深度学习项目实战

项目名称&#xff1a;基于深度学习的图像分类系统 一、项目背景 随着大数据和人工智能技术的快速发展&#xff0c;图像分类技术在各个领域得到了广泛应用&#xff0c;如安防监控、医疗诊断、自动驾驶等。本项目旨在利用深度学习技术构建一个高效的图像分类系统&#xff0c;实…
最新文章