STM32 F103 C8T6开发笔记14:与HLK-LD303-24G测距雷达通信

今日尝试配通STM32 F103 ZET6与HLK-LD303-24G测距雷达的串口通信解码

文章提供测试代码......

目录

HLK-LD303-24G测距雷达外观:

线路连接准备:

定时器与串口配置准备:

定时器2的初始化:

 串口1、2初始化:

串口1、2自定义打印printf()函数的编写:

串口通信协议解码与校验配置:

首先了解一下它的通信协议:

​编辑

定义数据接收的结构体:

数据处理函数:

简易状态机接收检验函数:

测试效果:


HLK-LD303-24G测距雷达外观:

线路连接准备:

我选择使用串口2进行与测距雷达的通信,串口1留着连接电脑进行测试:

定时器与串口配置准备:

先建立一个基本工程:初始化定时器2为1ms溢出一次,并初始化串口1和串口2:

定时器2的初始化:

#include "TIMER_init.h"

//初始化定时器2用作计时中断定时器:
void Timer2_Init(void)
{
	TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure;	
	NVIC_InitTypeDef NVIC_InitStructure;
	
  RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2, ENABLE);
	
	TIM_InternalClockConfig(TIM2);//选择哪个中断就写哪个
	
	
	TIM_TimeBaseInitStructure.TIM_ClockDivision = TIM_CKD_DIV1;     //修改分频,对实际情况影响不大,可以不修改,这里是不分频(可选1~72)
	TIM_TimeBaseInitStructure.TIM_CounterMode = TIM_CounterMode_Up; //向上对齐模式,同时还有向下对齐,中央对齐模式
	TIM_TimeBaseInitStructure.TIM_Period = 10 - 1;							    //计数器周期。该参数决定了计数器计数溢出前的最大值。
	TIM_TimeBaseInitStructure.TIM_Prescaler = 7200 - 1;							//分频器预分频系数。该参数决定了计数器时钟频率的变化程度。
	TIM_TimeBaseInitStructure.TIM_RepetitionCounter = 0;            //高级计数器需要,不需要用到的直接给0就好
	TIM_TimeBaseInit(TIM2, &TIM_TimeBaseInitStructure);
	
	TIM_ClearFlag(TIM2, TIM_FLAG_Update);                           //用于解决一复位时就先进一次中断的情况
	TIM_ITConfig(TIM2, TIM_IT_Update, ENABLE);
	
	NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);
	NVIC_InitStructure.NVIC_IRQChannel = TIM2_IRQn;
	NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
	NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 2;       //抢占优先级
	NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;              //响应优先级
	NVIC_Init(&NVIC_InitStructure);
	
	TIM_Cmd(TIM2, ENABLE);
	
}

 串口1、2初始化:



void Usart1_Init(unsigned int baud)
{
 
	GPIO_InitTypeDef gpio_initstruct;
	USART_InitTypeDef usart_initstruct;
	NVIC_InitTypeDef nvic_initstruct;
	
  // 打开串口GPIO的时钟
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);
	// 打开串口外设的时钟	
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1, ENABLE);
	
	
	//PA9	TXD	// 将USART Tx的GPIO配置为推挽复用模式
	gpio_initstruct.GPIO_Mode = GPIO_Mode_AF_PP;
	gpio_initstruct.GPIO_Pin = GPIO_Pin_9;
	gpio_initstruct.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(GPIOA, &gpio_initstruct);
	
	//PA10	RXD  // 将USART Rx的GPIO配置为浮空输入模式
	gpio_initstruct.GPIO_Mode = GPIO_Mode_IN_FLOATING;
	gpio_initstruct.GPIO_Pin = GPIO_Pin_10;
	gpio_initstruct.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(GPIOA, &gpio_initstruct);
	
	usart_initstruct.USART_BaudRate = baud;                                 	      //配置波特率
	usart_initstruct.USART_HardwareFlowControl = USART_HardwareFlowControl_None;		//无硬件流控	
	usart_initstruct.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;						        //接收和发送	
	usart_initstruct.USART_Parity = USART_Parity_No;									              //无校验
	usart_initstruct.USART_StopBits = USART_StopBits_1;								              //配置停止位 1位停止位
	usart_initstruct.USART_WordLength = USART_WordLength_8b;							          //配置 针数据字长 8位数据位
	// 完成串口的初始化配置
	USART_Init(USART1, &usart_initstruct);

	USART_Cmd(USART1, ENABLE);														                           //使能串口
	USART_ITConfig(USART1, USART_IT_RXNE, ENABLE);									                 //使能接收中断
	
  NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);                                  /* 嵌套向量中断控制器组选择 */
	nvic_initstruct.NVIC_IRQChannel = USART1_IRQn;                                   /* 配置USART为中断源 */
	nvic_initstruct.NVIC_IRQChannelCmd = ENABLE;                                     /* 使能中断 */
	nvic_initstruct.NVIC_IRQChannelPreemptionPriority = 0;                           /* 抢断优先级*/
	nvic_initstruct.NVIC_IRQChannelSubPriority = 2;                                  /* 子优先级 */
	
	NVIC_Init(&nvic_initstruct);                                                     /* 初始化配置NVIC */
 
}


void Usart2_Init(unsigned int baud)
{
 
	GPIO_InitTypeDef gpio_initstruct;
	USART_InitTypeDef usart_initstruct;
	NVIC_InitTypeDef nvic_initstruct;
	
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);
	RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART2, ENABLE);
	
	//PA2	TXD
	gpio_initstruct.GPIO_Mode = GPIO_Mode_AF_PP;
	gpio_initstruct.GPIO_Pin = GPIO_Pin_2;
	gpio_initstruct.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(GPIOA, &gpio_initstruct);
	
	//PA3	RXD
	gpio_initstruct.GPIO_Mode = GPIO_Mode_IN_FLOATING;
	gpio_initstruct.GPIO_Pin = GPIO_Pin_3;
	gpio_initstruct.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(GPIOA, &gpio_initstruct);
	
	usart_initstruct.USART_BaudRate = baud;
	usart_initstruct.USART_HardwareFlowControl = USART_HardwareFlowControl_None;		//无硬件流控
	usart_initstruct.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;						//接收和发送
	usart_initstruct.USART_Parity = USART_Parity_No;									//无校验
	usart_initstruct.USART_StopBits = USART_StopBits_1;								//1位停止位
	usart_initstruct.USART_WordLength = USART_WordLength_8b;							//8位数据位
	USART_Init(USART2, &usart_initstruct);
	
	USART_Cmd(USART2, ENABLE);														//使能串口
	
	USART_ITConfig(USART2, USART_IT_RXNE, ENABLE);									//使能接收中断
	
	nvic_initstruct.NVIC_IRQChannel = USART2_IRQn;
	nvic_initstruct.NVIC_IRQChannelCmd = ENABLE;
	nvic_initstruct.NVIC_IRQChannelPreemptionPriority = 0;
	nvic_initstruct.NVIC_IRQChannelSubPriority = 0;
	NVIC_Init(&nvic_initstruct);
}

串口1、2自定义打印printf()函数的编写:

不理解这个的看我之前MSP432的文章有解释:

MSP432自主开发笔记3:串口__编写自定义printf发送函数、编写发送字节字符串函数编写_msp432单片机串口编程-CSDN博客


//选择串口发送数据--自定义Printf
void UsartPrintf (USART_TypeDef *USARTx, char *fmt,...)
{
 
	unsigned char UsartPrintfBuf[296];                                  //最大长度296
	va_list ap;
	unsigned char *pStr = UsartPrintfBuf;
	
	va_start(ap, fmt);
	vsnprintf((char *)UsartPrintfBuf, sizeof(UsartPrintfBuf), fmt, ap);	//格式化
	va_end(ap);
	
	while(*pStr != 0)
	{
		USART_SendData(USARTx, *pStr++);
		while(USART_GetFlagStatus(USARTx, USART_FLAG_TC) == RESET);
	}
}

串口通信协议解码与校验配置:

首先了解一下它的通信协议:

这里比较重要的是与它通信的串口的波特率须是115200 :

它有需要我们发送一个固定查询命令的操作来查阅探测结果:  

通信格式如下:

定义数据接收的结构体:

根据以上的学习,我们可以初步决定使用一个结构体来清晰地接收这些数据:

这样对于数据处理与转发就十分清晰与明白了:

//雷达数据反馈结构体
typedef struct {
	  uint8_t length;        // 长度:除帧头及校验字节外的字节数,0x0A,固定字节
    uint8_t address;         // 地址:固定字节
    uint16_t distance;       // 距离 cm  
    uint8_t reserved;  		 // 占 1 个字节,取值 0x00,固定字节
    uint8_t status;          // 0:无人, 1:有人  
    uint16_t signalStrength; // 单位 k,信号强度  
    uint8_t microMotion;     // 0:无微动, 1:有微动  
    uint8_t radarOff;        // 0:没有关闭, 1:已关闭  
    uint8_t checksum;  	     // 校验和
}SenserDataFarm;

extern SenserDataFarm SDF;          //实例化结构体

数据处理函数:

//处理数据的代码,例如更新距离、状态等变量 
void parse_data(uint8_t *data, uint8_t leng) 
{
	      //校验和正确,提取数据
				SDF.address=data[0];
        SDF.distance = (data[1]<<8) | data[2];    //距离
				SDF.reserved=data[3]; 										//预留
				SDF.status = data[4];  										//有人、无人
        SDF.signalStrength = (data[5] << 8) | data[6];  //信强度
				SDF.microMotion= data[7];								  // 0:无微动, 1:有微动  单位 k,
				SDF.radarOff=data[8]; 										//0:没有关闭, 1:已关闭 
}

简易状态机接收检验函数:

这里用到了状态机思维进行接收数据:

状态机放在串口中断服务函数调用:

/* 数据帧处理函数
    帧头:0x55 A5(2字节)
    长度:0x0A(1字节)
    地址:0xD3(1字节)
    距离:高位在前(2字节)
    预留:0x00(1字节)
    状态:0x00(无人)或0x01(有人)(1字节)
    信号强度:高位在前(2字节)
    微动:0x00(无微动)或0x01(有微动)(1字节)
    雷达关闭状态:0x00(未关闭)或0x01(已关闭)(1字节)
    校验和:除校验字节外所有字节的和的低8位(1字节)
*/
//数据帧处理函数  用到简易的状态机
void uart_rx_callback(uint8_t data) 
{  
    static uint8_t state = 0; // 状态机状态  
//    static uint8_t checksum = 0; // 校验和  
    static uint8_t expected_length = 10; // 期望的数据长度  
    static uint8_t received_length = 0; // 已接收的数据长度  
  
    switch (state) 
			{  
        case 0: // 搜索帧头1  
            if (data== FRAME_HEADER_1) 
						{  state = 1;}  
            break;
						
        case 1: // 搜索帧头2  
            if (data== FRAME_HEADER_2) 
						{  
                state = 2;
            } 
						else
						{ state = 0; }              // 重新开始搜索帧头1 
            break;
						
        case 2: // 搜索帧头2  
            if (data== FRAME_LENGTH) 
						{  
                state = 3;
							  received_length = 0;    // 重置已接收长度	
            } 
						else
						{ state = 0; }              // 重新开始搜索帧头1 
						
						
            break;						
        case 11: // 读取校验和字节
            parse_data(rx_buffer,received_length); // 处理数据帧
            state = 0;  //重置状态机,准备接收下一个数据帧  
            break;
						
        default: //处理其他数据字段
            rx_buffer[received_length++]=data;   // 存储数据到缓冲区
            if (received_length == expected_length)
						// 数据接收完毕
						{ state = 11;} 
						else
						{ 
						    // 继续接收数据字段 
                state++;  
            }
            break; 
    }  
}



数据帧处理函数  用到简易的状态机
//void uart_rx_callback(uint8_t data) 
//{  
//    static uint8_t state = 0; // 状态机状态  
    static uint8_t checksum = 0; // 校验和  
//    static uint8_t expected_length = 10; // 期望的数据长度  
//    static uint8_t received_length = 0; // 已接收的数据长度  
//  
//    switch (state) 
//			{  
//        case 0: // 搜索帧头1  
//            if (data== FRAME_HEADER_1) 
//						{  
//                state = 1;
								checksum+=data;      // 更新校验和
//            }  
//            break;
//						
//        case 1: // 搜索帧头2  
//            if (data== FRAME_HEADER_2) 
//						{  
//                state = 3;  
							  checksum+=data;      // 更新校验和
//							  received_length = 0;    // 重置已接收长度	
//            } 
//						else
//						{  
						    checksum = 0;        // 重置校验和  
//                state = 0;           // 重新开始搜索帧头1  
//            }  
//            break;
//						
        case 2: // 读取长度字节  
            expected_length = data; // 设置期望的数据长度  
            state = 3; 
            checksum+=data;         // 更新校验和
            received_length = 0;    // 重置已接收长度				
            break;
//				
//        // ... 添加其他状态来处理地址、距离、状态等字段 ...  
//				
//        case 11: // 读取校验和字节  
//					  // 校验和匹配
            if ((checksum & 0xFF) == data) 
						{ 
//            parse_data(rx_buffer,received_length); // 处理数据帧
            } 
//						// 否则,丢弃该数据帧
//            state = 0;  //重置状态机,准备接收下一个数据帧  
//            break;
//						
//        default: //处理其他数据字段
//            rx_buffer[received_length++]=data;   // 存储数据到缓冲区
            checksum += data;                    // 更新校验和 
//				
//            if (received_length == expected_length)
//							
//						{ 
//								// 数据接收完毕,等待校验和字节 
//                state = 11;
//            } 
//						else
//						{ 
//						    // 继续接收数据字段  
//                state++;  
//            }
//            break; 
//    }  
//}

测试效果:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/550503.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

ARP代理

10.1.0.1/8 和10.2.0.1/8是在同一个网段 10.1.0.2/16 和10.2.0.2/16 不在同一个网段 10.1.0.1/8 和10.1.0.2/16 是可以ping通的 包发出来了&#xff0c;报文有发出来&#xff0c;目的地址是广播包 广播请求&#xff0c;发到路由器的接口G 0/0/0 target不是本接口&#xff0…

【C++学习】C++IO流

这里写目录标题 &#x1f680;C语言的输入与输出&#x1f680;什么是流&#x1f680;CIO流&#x1f680;C标准IO流&#x1f680;C文件IO流 &#x1f680;C语言的输入与输出 C语言中我们用到的最频繁的输入输出方式就是scanf ()与printf()。 scanf(): 从标准输入设备(键盘)读取…

windows网络驱动开发

基石&#xff1a;WFP 1、简介 Windows过滤平台&#xff08;Windows Filtering Platform, WFP&#xff09;&#xff0c;是从Vista系统后新增的一套系统API和服务。开发者可以在WFP框架已划分的不同分层中进行过滤、重定向、修改网络数据包&#xff0c;以实现防火墙、入侵检测系…

pdf做批注编辑工具 最新pdf reader pro3.3.1.0激活版

PDF Reader Pro是一款功能强大的PDF阅读和编辑工具。它提供了多种工具和功能&#xff0c;帮助用户对PDF文档进行浏览、注释、编辑、转换和签名等操作。以下是PDF Reader Pro的一些主要特色&#xff1a; 最新pdf reader pro3.3.1.0激活版下载 多种查看模式&#xff1a;PDF Reade…

上海计算机学会 2023年10月月赛 乙组T4 树的覆盖(树、最小点覆盖、树形dp)

第四题&#xff1a;T4树的覆盖 标签&#xff1a;树、最小点覆盖、树形 d p dp dp题意&#xff1a;求树的最小点覆盖集的大小和对应的数量&#xff0c;数量对 1 , 000 , 000 , 007 1,000,000,007 1,000,000,007取余数。所谓覆盖集&#xff0c;是该树的点构成的集合&#xff0c;…

vue:如何通过两个点的经纬度进行距离的计算(很简单)

首先假设从api获取到了自己的纬经度和别人的纬经度 首先有一个概念需要说一下 地球半径 由于地球不是一个完美的球体&#xff0c;所以并不能用一个特别准确的值来表示地球的实际半径&#xff0c;不过由于地球的形状很接近球体&#xff0c;用[6357km] 到 [6378km]的范围值可以…

板式热交换器强度

1、不同标准中对于板换压板的规定 (1) NB/T 47004.1-2017《板式热交换器 第1部分&#xff1a;可拆卸板式热交换器》6.3压紧板6.3.3条“压紧板应有足够的刚性&#xff0c;以保证板式热交换器在正常操作状态不发生泄漏”。 (2) NB/T 47004-2009《板式热交换器》5.3紧板5.3.3条“…

Springboot+Vue项目-基于Java+MySQL的蜗牛兼职网系统(附源码+演示视频+LW)

大家好&#xff01;我是程序猿老A&#xff0c;感谢您阅读本文&#xff0c;欢迎一键三连哦。 &#x1f49e;当前专栏&#xff1a;Java毕业设计 精彩专栏推荐&#x1f447;&#x1f3fb;&#x1f447;&#x1f3fb;&#x1f447;&#x1f3fb; &#x1f380; Python毕业设计 &…

每日一题 — 串联所有单词的子串

30. 串联所有单词的子串 - 力扣&#xff08;LeetCode&#xff09; 思路&#xff1a;因为words里面的每一个字符串的长度都是固定的&#xff0c;所以可以将题转换成字符在字符串中的所有异位词 设出哈希表定义left和right进窗口维护count判断出窗口维护count 代码&#xff1a; …

[html]一个动态js倒计时小组件

先看效果 代码 <style>.alert-sec-circle {stroke-dasharray: 735;transition: stroke-dashoffset 1s linear;} </style><div style"width: 110px; height: 110px; float: left;"><svg style"width:110px;height:110px;"><cir…

【Qt】:界面优化(一:基本语法)

界面优化 一.基本语法1.设置指定控件样式2.设置全局控件样式3.从文件加载样式表4.使⽤Qt Designer编辑样式&#xff08;最常用&#xff09; 二.选择器1.概述2.子控件选择器3.伪类型选择器 三.盒模型 在网页前端开发领域中,CSS是一个至关重要的部分.描述了一个网页的"样式&…

快速删除node_modules依赖包的命令rimraf

1、安装rimraf npm install -g rimraf 2、使用命令删除node_modules rimraf node_modules *** window系统&#xff0c;使用命令很快就删除node_modules ***

Jmeter 场景测试:登录--上传--下载--登出

为了练习Jmeter的使用&#xff0c;今天我要测试的场景是“登录--上传--下载--登出”这样一个过程. 测试的目标是我曾经练手写的一个文件分享系统&#xff0c;它要求用户只有登录后才可以下载想要的文件。 Jmeter总体结构&#xff1a; 第一步&#xff1a;添加HTTP Cookie管理器…

微信公众号-获取用户位置

目前获取方式为&#xff0c;在用户进入公众号时&#xff0c;提示是否允许获取地理位置&#xff0c;允许后&#xff0c;将地理位置在每次进入公众号时上报给公众号。 则可以根据公众号开发文档&#xff0c;进行上报提示&#xff0c;例如引入邮件系统&#xff0c;进行管理员提示&…

vscode如何方便地添加todo和管理todo

如果想在vscode中更加方便的添加和管理TODO标签&#xff0c;比如添加高亮提醒和查看哪里有TODO标签等&#xff0c;就可以通过安装插件快速实现。 安装插件 VSCode关于TODO使用人数最多的插件是TODO Height和Todo Tree 按住 CtrlShiftX按键进入应用扩展商店&#xff0c;输入to…

Jmeter03:直连数据库

1 Jmete组件&#xff1a;直连数据库 1.1 是什么&#xff1f; 让Jmeter直接和数据库交互 1.2 为什么&#xff1f; 之前是通过接口操作数据库&#xff0c;可能出现的问题&#xff1a;比如查询可能有漏查误查的情况&#xff0c;解决方案是人工对不&#xff0c;效率低且有安全隐患…

【C++题解】1317. 正多边形每个内角的度数?

问题&#xff1a;1317. 正多边形每个内角的度数&#xff1f; 类型&#xff1a;基本运算、小数运算 题目描述&#xff1a; 根据多边形内角和定理&#xff0c;正多边形内角和等于&#xff1a;&#xff08; n&#xff0d;2 &#xff09; 180∘ ( n 大于等于 3 且 n 为整数&#…

STM32 PB3 PB4 无法作为 GPIO 使用解决办法

如下所示&#xff0c;PA13 PA14 PB3 PB4 PB5, 默认是JTAG SWD的 PIN, 需要引脚ReMap 才能作为GPIO 使用。 HAL库解决办法 // __HAL_AFIO_REMAP_SWJ_ENABLE(); //Full SWJ (JTAG-DP SW-DP):// __HAL_AFIO_REMAP_SWJ_NONJTRST(); //Full SWJ (JTAG-DP SW-DP) but without NJTR…

Spring Boot JNA 实现调用 DLL文件(清晰明了)

概述 项目需要用到 重采样算法&#xff0c;JAVA 没有现成的&#xff0c;只能通过 JNA 调用 C 的 DLL 实现&#xff0c;JNA中&#xff0c;它提供了一个动态的C语言编写的转发器&#xff0c;可以自动实现Java和C的数据类型映射。不再需要编写C动态链接库。 实现需求 根据 一个…

Python赋能AI数据分析开启人工智能新时代

文章目录 一、Python是办公自动化的重要工具二、Python是提升职场竞争力的利器三、Python是企业数字化的重要平台四、Python是AI发展的重要通道之一《编程菜鸟学Python数据分析》编辑推荐内容简介作者简介目录前言为什么要写这本书读者对象如何阅读本书 随着我国企业数字化和信…
最新文章