摩尔定律仍在延续|从最新1.6nm工艺节点看芯片发展-2

2nm工艺的斗争还没结束,TSMC台积电就又公开宣布了1.6nm(TSMC A16TM)半导体工艺,太卷了!

TSMC A16TM技术采用领先的纳米片晶体管,并结合创新的背侧电源轨方案,计划于2026年投入生产。这种设计极大地提升了逻辑密度和性能,通过将前端布线资源专门用于信号传输,使得A16特别适合具有复杂信号路径和密集电源传输网络的高性能计算(HPC)产品。

相较于TSMC的N2P工艺,A16能在相同的Vdd(正电源电压)下提供8-10%的速度提升,在相同速度下降低15-20%的功率消耗,并为数据中心产品实现高达1.10倍的芯片密度提升。

图片

TSMC即将推出的N2技术将配备TSMC NanoFlex,这是公司在设计-技术协同优化方面的最新突破。NanoFlex为N2标准单元(芯片设计的基本构建块)提供了灵活性,其中短单元强调小面积和更高的功率效率,而高单元则最大化性能。客户可以在同一设计区块内优化短单元和高单元的组合,根据应用需求调整设计,以达到最佳的功率、性能和面积折衷。

为了将先进技术带给更多应用领域,TSMC宣布了N4C技术,这是对N4P技术的扩展,可实现高达8.5%的晶圆成本降低和较低的采用门槛,计划于2025年实现批量生产。

N4C提供与广泛应用的N4P技术完全兼容的面积高效基础IP和设计规则,通过减小晶圆尺寸提高良率,为价值级产品提供了一种经济高效的途径,以便从TSMC迁移至下一先进工艺节点。

TSMC的Chip on Wafer on Substrate (CoWoS)通过允许客户在一个中介层上并排封装更多处理器核心和高带宽内存(HBM),成为AI革命的关键推动者。同时,System on Integrated Chips (SoIC)已成为3D芯片堆叠的领先解决方案,客户越来越多地将CoWoS与SoIC和其他组件结合,以实现终极系统级封装(SiP)集成。

System-on-Wafer (SoW)为客户提供了一种革命性的新选择,能够在300mm晶圆上集成大量裸片,提供更强的计算能力,同时占用数据中心更少的空间,将性能功耗比提升数个数量级。首款基于Integrated Fan-Out (InFO)技术的仅逻辑晶圆SoW已投入生产,计划于2027年推出基于CoWoS技术的芯片-on-wafer版本,能够集成SoIC、HBM和其他组件,创建出具有相当于数据中心服务器机架甚至整个服务器计算能力的强大晶圆级系统。

面对AI热潮带来的数据传输爆炸性增长,TSMC正在开发Compact Universal Photonic Engine (COUPE)技术。COUPE利用SoIC-X芯片堆叠技术将一个电子裸片堆叠到一个光子裸片之上,提供最低的裸片间接口阻抗和比传统堆叠方法更高的能效。TSMC计划在2025年使COUPE满足小型插拔式设备要求,随后在2026年将其集成到CoWoS封装中作为共封装光学(CPO),将光连接直接引入封装内部。

继2023年推出N3AE“Auto Early”工艺后,TSMC继续通过集成先进硅片与先进封装,满足汽车客户对更高计算能力的需求,同时符合高速公路的安全和质量要求。TSMC正在开发InFO-oS和CoWoS-R解决方案,应用于高级驾驶辅助系统(ADAS)、车辆控制和车辆中央计算机等,目标在2025年第四季度获得AEC-Q100 Grade 2认证。

TSMC 1.6nm芯片工艺的发布,不仅是其自身技术实力的展现,也是全球芯片工艺发展历程中的重要里程碑。它揭示了当前及未来芯片工艺发展的三大趋势:晶体管结构创新、封装技术创新以及跨学科融合。尽管面临物理极限的挑战,摩尔定律的精神依然在驱动芯片工艺的持续演进,体现在晶体管密度的增长、性能-功耗权衡的优化,以及技术生态的扩展等方面。随着科学技术的不断进步,我们有理由相信,芯片工艺将继续沿着摩尔定律的指引,向着更小、更快、更智能的方向迈进。

最后,回到国产芯片技术,起步较晚,面临技术封锁、人才短缺、资金匮乏等多重挑战。然而,国家层面高度重视集成电路产业的战略地位,自2000年起启动了“909工程”等一系列重大专项,加大对芯片产业的政策扶持力度,为国产芯片技术的发展奠定了坚实基础。

历经多年努力,国产芯片技术取得了显著进步。中芯国际(SMIC)成功研发出14纳米FinFET工艺,并逐步向更先进的节点挺进。

尽管国产芯片技术取得了长足进步,但仍面临严峻挑战。一是先进制程技术的追赶困难,如在7纳米、5纳米等节点,由于美国制裁导致的技术断供,国产芯片在短时间内难以实现与国际巨头同等水平的工艺突破。二是生态系统建设滞后,包括EDA工具、IP核、材料、设备等产业链关键环节仍依赖进口,制约了整体竞争力的提升。三是人才储备不足,尤其是具备国际一流技术水平的科研人员和工程师队伍尚需加强。 

参考文献:

  • FADU:Design of Low Voltage Power Loss Protection IC

  • https://phisonblog.com/avoiding-ssd-data-loss-with-phisons-power-loss-protection-2/

  • https://www.atpinc.com/cn/about/stories/microcontroller-SSD-power-loss-protection

  • https://www.thomas-krenn.com/de/wiki/SSD_Power_Loss_Protection


如果您看完有所受益,欢迎点击文章底部左下角“关注”并点击“分享”、“在看”,非常感谢!

精彩推荐:

  • 漫谈HAMR硬盘的可靠性

  • 万物皆可计算|下一个风口:近内存计算

  • SSD数据错误如何修复?

  • CXL与PCIe世界的尽头|你相信光吗?

  • 全景剖析SSD SLC Cache缓存设计原理

  • 存储革新:下一代低功耗PCM相变存储器

  • 3D DRAM虽困难重重,最快明年到来

  • 字节跳动入局存储内存SCM

  • 解读“CFMS中国闪存市场峰会”存储技术看点

  • 首个业内DNA存储技术规范发布

  • 如何突破SSD容量提升的瓶颈?

  • 固态存储是未来|浅析SSD架构的演进与创新技术

  • 论文解读:NAND闪存中读电压和LDPC纠错码的高效设计

  • 华为新发布磁电存储“王炸”,到底是什么?

  • SSD LDPC软错误探测方案解读

  • 关于SSD LDPC纠错能力的基础探究

  • 存储系统如何规避数据静默错误?

  • PCIe P2P DMA全景解读

  • 深度解读NVMe计算存储协议

  • 对于超低延迟SSD,IO调度器已经过时了吗?

  • 浅析CXL P2P DMA加速数据传输的原理

  • NVMe over CXL技术如何加速Host与SSD数据传输?

  • 浅析LDPC软解码对SSD延迟的影响

  • 为什么QLC NAND才是ZNS SSD最大的赢家?

  • SSD在AI发展中的关键作用:从高速缓存到数据湖

  • 浅析不同NAND架构的差异与影响

  • SSD基础架构与NAND IO并发问题探讨

  • 字节跳动ZNS SSD应用案例解析

  • CXL崛起:2024启航,2025年开启新时代

  • NVMe SSD:ZNS与FDP对决,你选谁?

  • 浅析PCI配置空间

  • 浅析PCIe系统性能

  • 存储随笔《NVMe专题》大合集及PDF版正式发布!

如果您也想针对存储行业分享自己的想法和经验,诚挚欢迎您的大作。
投稿邮箱:Memory_logger@163.com (投稿就有惊喜哦~)

《存储随笔》自媒体矩阵

图片

更多存储随笔科普视频讲解,请移步B站账号

图片

如您有任何的建议与指正,敬请在文章底部留言,感谢您不吝指教!如有相关合作意向,请后台私信,小编会尽快给您取得联系,谢谢!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/576373.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

【项目】YOLOv8/YOLOv5/YOLOv9半监督ssod火灾烟雾检测(YOLOv8_ssod)

假期闲来无事找到一份火灾烟雾数据集,自己又补充标注了一些,通过论文检索发现现在的火灾检测工作主要局限于对新场景的泛化性不够强,所以想着用半监督,扩充数据集的方法解决这个问题,所以本文结合使用现在检测精度较高…

成功案例丨守“鲜”有道 Fortinet为都乐筑就全球安全防护网

作为全球知名的跨国食品企业,都乐业务遍布各大洲。在各种新兴业务模式层出不穷的数字化时代,都乐面临着生产持续性、安全运营、供应链安全等严峻的网络安全挑战。通过采用Fortinet的FortiSIEM、FortiMail等系列Fortinet Security Fabric安全平台生态产品…

DaVinci Resolve Studio 19(达芬奇19调色剪辑)win/mac激活版

DaVinci Resolve Studio是一个结合专业的8k 编辑,颜色混合,视觉效果和音频后期制作的软件。只需点击一下,你就可以立即在编辑、混音、特效和音频流之间切换。此外,达芬奇解决(达芬奇)是一个多用户协作的解决方案,使编辑…

Swift - 基础语法

文章目录 Swift - 基础语法1. 常量1.1 只能赋值1次1.2 它的值不要求在编译时期确定,但使用之前必须赋值1次1.3 常量、变量在初始化之前,都不能使用 2. 标识符3. 常用数据类型4. 字面量4.1 布尔4.2 字符串4.3 整数4.4 浮点数4.5 数组4.6 字典 5. 类型转换…

OpenHarmony音视频—opus

简介 Opus是一种用于在互联网上进行交互式语音和音频传输的编解码器。它可以从低比特率窄带语音扩展到非常高的高品质立体声音乐。 下载安装 直接在OpenHarmony-SIG仓中搜索opus并下载。 使用说明 以OpenHarmony 3.1 Beta的rk3568版本为例 将下载的opus库代码存在以下路径&a…

OSPF的LSA详解

一、什么是LSA?LSA作用? 在OSPF协议中,LSA全称链路状态通告,主要由LSA头部信息(LSA摘要)和链路状态组成。部分LSA只有LSA头部信息,无链路状态信息。使用LSA来传递路由信息和拓扑信息&#xff0c…

2024全网最火的接口自动化测试,一看就会

🔥 交流讨论:欢迎加入我们一起学习! 🔥 资源分享:耗时200小时精选的「软件测试」资料包 🔥 教程推荐:火遍全网的《软件测试》教程 📢欢迎点赞 👍 收藏 ⭐留言 &#x1…

网工内推 | 外企网工,思科认证优先,弹性工作,补贴多

01 淳华科技(昆山)有限公司 招聘岗位:网络工程师 职责描述: 1.全厂网络规划 2.Cisco交换机和路由器的配置 3.日常设备点检\维护\配置 4.网络设备的评估并做报告说明 任职要求: 1.具有一定的网络工作经验有Cisco或是其…

DNS域名系统 | unbound

目录 DNS 命名空间和域名结构 DNS的命名空间的结构: 域名服务器的分类: ​编辑 DNS 资源记录 常见type: DNS报文结构 请求报文: 响应报文: 解析类型 递归查询 迭代查询 DNS劫持 DNS劫持方法: 防御措施 DNS服务部署…

05_Scala运算符

文章目录 **1.Scala运算符****2.scala中没有 --等语法****3.逻辑运算符和Java完全相同****4.scala认为万物皆对象** 1.Scala运算符 Scala底层 使用的是equals() 程序员比较两个量的时候,谁来没事比较内存地址? Java中引用数据类型比较地址&#xff0…

Allure精通指南(05)定制化报告内容(环境信息、图标、缺陷类别)

文章目录 Allure 自定义测试环境信息Allure 自定义缺陷类别信息Allure 自定义图标步骤一步骤二步骤三 Allure 自定义测试环境信息 步骤 1:创建 environment.properties 文件 在项目根目录或任何其他不会被--clean-alluredir参数影响的目录下创建 environment.proper…

OpenHarmony语言基础类库【@ohos.util.LightWeightMap (非线性容器LightWeightMap)】

LightWeightMap可用于存储具有关联关系的key-value键值对集合,存储元素中key值唯一,每个key对应一个value。 LightWeightMap依据泛型定义,采用轻量级结构,初始默认容量大小为8,每次扩容大小为原始容量的两倍。 集合中…

1、opencv介绍与开发环境搭建

1、opencv介绍 OpenCV 是 Intel 开源计算机视觉库,是一个跨平台的开源计算机视觉和机器学习软件库。它由一系列 C 函数和少量 C 类构成,可用于开发实时的图像处理、计算机视觉以及模式识别程序。 该库有 2500 多种优化算法,其中包括一套全面…

python怎么输出倒序

python怎么输出倒序?下面给大家介绍四种方法: 创建测试列表 >>> lst [1,2,3,4,5,6]方法1: >>> lst.reverse() #reverse()反转 >>> lst [6, 5, 4, 3, 2, 1] 方法2: >>> lst1 [i for i in …

2024年最好用的10款ER图神器!

分享10款ER图工具,详细分析他们的功能特点、价格和适用场景,可以根据你的需求进行选择。ER图(Entity-Relationship Diagram)是数据库设计中常用的一种模型,用于描述实体之间的关系。这种图形化的表示方法旨在帮助人们理…

数据结构——二叉树练习(深搜广搜)

数据结构——二叉树练习 路径之和深度优先算法和广度优先算法二叉搜索树判断一棵二叉树是否为搜索二叉树和完全二叉树 我们今天来看二叉树的习题: 路径之和 https://leetcode.cn/problems/path-sum-ii/ 这是一个典型的回溯,深度优先算法的题&#xff0c…

解决Win10 C盘扩展卷灰色不可用的简单方法!

当你发现电脑C盘空间不足,却又一段Win10 C盘扩展卷选项无法使用的状况时,该如何应对呢?本篇文章将向你介绍3种简单的方法,帮助你轻松解决C盘扩容的问题! C盘扩容的重要性? 当前,大部分台式机和…

普乐蛙VR航天航空体验馆VR双人旋转座椅元宇宙VR飞船

多长假来袭!!想为门店寻找更多新鲜有趣的吸粉体验?想丰富景区体验?别着急,小编为你准备了一款爆款设备——时光穿梭机,720无死角旋转!!吸睛、刺激体验,将亲子、闺蜜、情侣…

【链表】Leetcode K个一组翻转链表

题目讲解 25. K 个一组翻转链表 算法讲解 虽然这道题是一道困难题,但是从代码层面很简单,只是一道简单的模拟:我们要先求出总共需要翻转的链表有多少组(链表的长度 / k),接下来就是翻转k的链表最链接的问…

【论文速读】|理解基于大语言模型的模糊测试驱动程序生成

本次分享论文:Understanding Large Language Model Based Fuzz Driver Generation 基本信息 原文作者:Cen Zhang, Mingqiang Bai, Yaowen Zheng, Yeting Li, Xiaofei Xie, Yuekang Li, Wei Ma, Limin Sun, Yang Liu 作者单位:南洋理工大学…