PotatoPie 4.0 实验教程(29) —— FPGA实现摄像头图像均值滤波处理

图像的均值滤波简介

图像均值滤波处理是一种常见的图像处理技术,用于降低图像中噪声的影响并平滑图像。该方法通过在图像中滑动一个固定大小的窗口(通常是一个正方形或矩形),将窗口中所有像素的值取平均来计算窗口中心像素的新值。这种操作会使图像中的高频噪声减弱,并且可以使图像的轮廓变得更加模糊,从而使得图像更加平滑。

图像均值滤波的理论依据是基于局部区域的像素值平均化。当图像中的一个像素值受到噪声的影响时,它的值可能会与其周围像素的值不一致。通过取局部区域像素值的平均,可以有效地减小噪声的影响,从而得到更加平滑的图像。

数学上,对于一个大小为 N×N 的滤波器(通常称为卷积核),图像中的每个像素被替换为其周围 N×N 区域中所有像素值的平均值。滤波器的大小决定了平滑效果的程度,较大的滤波器会导致更大程度的平滑,但可能会损失图像的细节信息。

均值滤波步骤和算法实现

均值滤波是一种常用的图像平滑处理方法,其步骤如下:

  1. 定义滤波模板: 通常使用一个固定大小的滤波模板(例如3×3或5×5)。

  2. 对每个像素应用滤波: 将模板中心的像素放置在图像的每个像素位置,并计算模板覆盖的像素的平均值。

  3. 更新图像值: 将计算得到的平均值作为当前像素的新值。

  4. 处理图像边界: 对于图像边界的像素,由于模板无法完全覆盖,需要根据特定的策略来处理,例如忽略边界像素、使用镜像边界像素或补零处理等。

  5. 输出结果: 输出经过均值滤波处理后的图像。

这种滤波方法有助于去除图像中的噪声和细节,使图像变得更加平滑。

python实现图像的均值滤波处理源码

import cv2
import numpy as np
import os
import matplotlib.pyplot as plt

# 获取当前脚本所在目录
current_directory = os.path.dirname(os.path.abspath(__file__))

# 构建图像文件的完整路径
image_path = os.path.join(current_directory, 'Lena.jpg')

# 读取图像
image_in = cv2.imread(image_path)

# 将彩色图像转换为灰度图像
image_gray = cv2.cvtColor(image_in, cv2.COLOR_BGR2GRAY)

# 获取图像尺寸
row, col = image_gray.shape

# 将灰度图像转换为浮点型
image_gray = image_gray.astype(float)

# 初始化用于存储均值滤波结果的图像
average_image = np.zeros_like(image_gray)

# 对灰度图像应用均值滤波
for i in range(1, row-1):
    for j in range(1, col-1):
        average_image[i, j] = (image_gray[i-1, j-1] + image_gray[i-1, j] + image_gray[i-1, j+1] +
                               image_gray[i, j-1] + image_gray[i, j] + image_gray[i, j+1] +
                               image_gray[i+1, j-1] + image_gray[i+1, j] + image_gray[i+1, j+1]) / 9

# 添加椒盐噪声到灰度图像
noise_salt_pepper = np.random.choice([0, 1], size=(row, col), p=[0.99, 0.01])  # 进一步降低椒盐噪声密度
image_gray_salt_pepper = image_gray.copy()
image_gray_salt_pepper[noise_salt_pepper == 1] = 255  # 将椒盐噪声点的灰度值设为255

# 初始化用于存储均值滤波结果的图像
average_image_salt_pepper = np.zeros_like(image_gray)

# 对添加椒盐噪声后的灰度图像应用均值滤波
for i in range(1, row-1):
    for j in range(1, col-1):
        average_image_salt_pepper[i, j] = (image_gray_salt_pepper[i-1, j-1] + image_gray_salt_pepper[i-1, j] + image_gray_salt_pepper[i-1, j+1] +
                                           image_gray_salt_pepper[i, j-1] + image_gray_salt_pepper[i, j] + image_gray_salt_pepper[i, j+1] +
                                           image_gray_salt_pepper[i+1, j-1] + image_gray_salt_pepper[i+1, j] + image_gray_salt_pepper[i+1, j+1]) / 9

# 添加高斯噪声到灰度图像
noise_gaussian = np.random.normal(0, 0.08, (row, col))  # 进一步降低高斯噪声的强度
image_gray_gaussian = image_gray + noise_gaussian * 255
image_gray_gaussian = np.clip(image_gray_gaussian, 0, 255)

# 初始化用于存储均值滤波结果的图像
average_image_gaussian = np.zeros_like(image_gray)

# 对添加高斯噪声后的灰度图像应用均值滤波
for i in range(1, row-1):
    for j in range(1, col-1):
        average_image_gaussian[i, j] = (image_gray_gaussian[i-1, j-1] + image_gray_gaussian[i-1, j] + image_gray_gaussian[i-1, j+1] +
                                         image_gray_gaussian[i, j-1] + image_gray_gaussian[i, j] + image_gray_gaussian[i, j+1] +
                                         image_gray_gaussian[i+1, j-1] + image_gray_gaussian[i+1, j] + image_gray_gaussian[i+1, j+1]) / 9

# 显示原始灰度图像和均值滤波后的图像
plt.figure(figsize=(12, 10))

plt.subplot(3, 2, 1)
plt.imshow(image_gray, cmap='gray')
plt.title('Original Gray Image')

plt.subplot(3, 2, 2)
plt.imshow(average_image, cmap='gray')
plt.title('Average Image')

plt.subplot(3, 2, 3)
plt.imshow(image_gray_salt_pepper, cmap='gray')
plt.title('Salt & Pepper Image')

plt.subplot(3, 2, 4)
plt.imshow(average_image_salt_pepper, cmap='gray')
plt.title('Average Salt & Pepper Image')

plt.subplot(3, 2, 5)
plt.imshow(image_gray_gaussian, cmap='gray')
plt.title('Gaussian Image')

plt.subplot(3, 2, 6)
plt.imshow(average_image_gaussian, cmap='gray')
plt.title('Average Gaussian Image')

plt.tight_layout()
plt.show()

这段代码实现了以下功能:

  1. 读取一张彩色图像并将其转换为灰度图像。
  2. 对灰度图像应用均值滤波,以平滑图像并降低噪声。
  3. 添加了椒盐噪声到灰度图像中,模拟图像中的随机噪声。
  4. 对添加了椒盐噪声的灰度图像应用均值滤波,以降低椒盐噪声对图像的影响。
  5. 添加了高斯噪声到灰度图像中,模拟图像中的连续噪声。
  6. 对添加了高斯噪声的灰度图像应用均值滤波,以降低高斯噪声对图像的影响。
  7. 显示原始灰度图像、均值滤波后的图像、添加椒盐噪声后的图像、添加高斯噪声后的图像以及它们各自的均值滤波结果。

这段代码可以用于图像处理中的噪声去除和平滑处理。

MATLAB实现图像的均值滤波处理源码

clear;  % 清空工作区变量
clear all;  % 清除所有变量
clc;  % 清空命令窗口

% 获取当前脚本所在目录
current_directory = fileparts(mfilename('fullpath'));

% 构建图像文件的完整路径
image_path = fullfile(current_directory, 'Lena.jpg');

% 读取图像
image_in = imread(image_path);

% 将彩色图像转换为灰度图像
image_gray = rgb2gray(image_in);

% 获取图像尺寸
[row,col] = size(image_gray);

% 将灰度图像转换为双精度类型
image_gray = im2double(image_gray); 

% 初始化用于存储均值滤波结果的图像
average_image = zeros(row,col);

% 对灰度图像应用均值滤波
for i = 2:1:row-1
    for j = 2:1:col-1
        average_image(i,j) = (...
            image_gray(i-1,j-1) + image_gray(i-1,j) + image_gray(i-1,j+1) + ...
            image_gray(i,j-1)   + image_gray(i,j)   + image_gray(i,j+1)   + ...
            image_gray(i+1,j-1) + image_gray(i+1,j) + image_gray(i+1,j+1)) / 9;
    end
end

% 添加椒盐噪声到灰度图像
image_gray_salt_pepper = imnoise(image_gray,'salt & pepper',0.05); 
image_gray_salt_pepper = im2double(image_gray_salt_pepper); 

% 初始化用于存储均值滤波结果的图像
average_image_salt_pepper = zeros(row,col);

% 对添加椒盐噪声后的灰度图像应用均值滤波
for i = 2:1:row-1
    for j = 2:1:col-1
        average_image_salt_pepper(i,j) = (...
            image_gray_salt_pepper(i-1,j-1) + image_gray_salt_pepper(i-1,j) + image_gray_salt_pepper(i-1,j+1) + ...
            image_gray_salt_pepper(i,j-1)   + image_gray_salt_pepper(i,j)   + image_gray_salt_pepper(i,j+1)   + ...
            image_gray_salt_pepper(i+1,j-1) + image_gray_salt_pepper(i+1,j) + image_gray_salt_pepper(i+1,j+1)) / 9;
    end
end

% 添加高斯噪声到灰度图像
image_gray_gaussian = imnoise(image_gray,'gaussian',0.05); 
image_gray_gaussian = im2double(image_gray_gaussian); 

% 初始化用于存储均值滤波结果的图像
average_image_gaussian = zeros(row,col);

% 对添加高斯噪声后的灰度图像应用均值滤波
for i = 2:1:row-1
    for j = 2:1:col-1
        average_image_gaussian(i,j) = (...
            image_gray_gaussian(i-1,j-1) + image_gray_gaussian(i-1,j) + image_gray_gaussian(i-1,j+1) + ...
            image_gray_gaussian(i,j-1)   + image_gray_gaussian(i,j)   + image_gray_gaussian(i,j+1)   + ...
            image_gray_gaussian(i+1,j-1) + image_gray_gaussian(i+1,j) + image_gray_gaussian(i+1,j+1)) / 9;
    end
end

% 显示原始灰度图像和均值滤波后的图像
figure
subplot(321);
imshow(image_gray), title('原始灰度图像');
subplot(322);
imshow(average_image), title('均值滤波后的图像');
subplot(323);
imshow(image_gray_salt_pepper), title('添加椒盐噪声后的灰度图像');
subplot(324);
imshow(average_image_salt_pepper), title('添加椒盐噪声后的均值滤波图像');
subplot(325);
imshow(image_gray_gaussian), title('添加高斯噪声后的灰度图像');
subplot(326);
imshow(average_image_gaussian), title('添加高斯噪声后的均值滤波图像');

这段代码实现了图像的均值滤波处理,具体功能如下:

  1. 清空工作区变量和命令窗口: clearclear allclc函数用于清空工作区变量和命令窗口,确保工作环境清晰。

  2. 获取当前脚本所在目录: filepartsmfilenamefullpath函数用于获取当前脚本所在的目录,并通过fullfile函数构建图像文件的完整路径,以便读取图像文件。

  3. 读取图像: imread函数读取名为”Lena.jpg”的图像文件,并将图像数据存储在变量image_in中。

  4. 转换为灰度图像: rgb2gray函数将彩色图像转换为灰度图像,以便后续处理。

  5. 获取图像尺寸: size函数获取灰度图像的尺寸,包括行数和列数。

  6. 转换为双精度类型: im2double函数将灰度图像转换为双精度类型,以便进行数学运算。

  7. 初始化存储均值滤波结果的图像矩阵: 创建一个与输入图像大小相同的矩阵average_image,用于存储均值滤波后的图像。

  8. 应用均值滤波: 使用两层嵌套的循环遍历图像中的每个像素,并对其应用3×3的均值滤波模板,得到均值滤波后的像素值。

  9. 添加噪声并进行均值滤波处理: 将灰度图像分别添加了椒盐噪声和高斯噪声,并对噪声图像分别进行均值滤波处理。

  10. 显示结果图像: 将原始灰度图像和三种处理后的图像(原始灰度图像、椒盐噪声+均值滤波、高斯噪声+均值滤波)以子图形式展示在一个大图中。

FPGA工程分析

工程层次图

demo18相比,只是多了一个img_mean_fltr的模块,也就是下面这一段代码,在从SDRAM读出来之后,经它处理后再输出hdmi_tx模块。

img_mean_fltr u_mean_fltr
(
    .i_clk(clk_pixel),
    .i_rst_n(sys_rst_n),
    .i_hs(VGA_HS),
    .i_vs(VGA_VS),
    .i_de(VGA_DE),
    .i_r(VGA_RGB[23:16]),
    .i_g(VGA_RGB[15:8] ),
    .i_b(VGA_RGB[7:0]  ),         
    .o_hs(mean_hs),
    .o_vs(mean_vs),
    .o_de(mean_de),   
    .o_r(mean_data[23:16]),
    .o_g(mean_data[15:8] ),
    .o_b(mean_data[7:0]  ) 
);

img_mean_fltr模块代码分析

从层次图可以看到这个模块的结果跟前面的 《PotatoPie 4.0 实验教程(28) —— FPGA实现sobel算子对摄像头图像进行边缘提取》整体结构是一样的。

首先例化图像缓冲模块,用于将图像从一个时钟一个像素转为一个时钟输出三行三列9个像素。以R通道为例

img_buf u_r_buf
(
  .i_clk      (i_clk        ),
  .i_rst_n    (i_rst_n      ),
  .i_de      (i_de        ),
  .i_data      (i_r        ),
  .o_de      (          ),
  .o_p11    (r_p11      ),
  .o_p12    (r_p12      ),
  .o_p13    (r_p13      ),  
  .o_p21    (r_p21      ),
  .o_p22    (r_p22      ),
  .o_p23    (r_p23      ),    
  .o_p31    (r_p31      ),
  .o_p32    (r_p32      ),
  .o_p33    (r_p33      )
);

代码后面又分别对G、B通道进行了行缓存的例化。

然后计算中心像素周围的另外8个像素的和,以R通道为例,

sum_r <= r_p11 + r_p12 + r_p13 + r_p21 + r_p23 + r_p31 + r_p32 + r_p33;

最后进行求平均值处理,右移3就相当于除以8。

avg_r <= sum_r >> 3;

管脚约束

与PotatoPie 4.0 实验教程(18) —— FPGA实现OV5640摄像头采集以SDRAM作为显存进行HDMI输出显示相同,不作赘述。

时序约束

与PotatoPie 4.0 实验教程(18) —— FPGA实现OV5640摄像头采集以SDRAM作为显存进行HDMI输出显示相同,不作赘述。

实验效果

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/579385.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

26.统一网关Gateway

网关的功能 1.身份认证&#xff0c;权限的校验。 2.服务的路由&#xff0c;负载均衡。用户请求被分配到哪一个微服务。一个微服务可以有多个实例&#xff0c;所以使用负载均衡。 3.请求限流。 springcloud网关实现有两种&#xff1a;gateway, zuul zuul是基于servlet实现的…

Vitis HLS 学习笔记--IDE软件高效操作指引

目录 1. 简介 2. 实用软件操作 2.1 C/RTL Cosimulation 选项 2.2 Do not show this dialog again 2.3 New Solution 2.4 对比 Solution 2.5 以命令行方式运行&#xff08;windows&#xff09; 2.6 文本缩放快捷键 2.7 查看和修改快捷键 2.8 将Vitis HLS RTL 导入 Viv…

YouTubeDNN模型

Deep Neural Networks for YouTube Recommendations YouTubeDNN模型是2016年的一篇文章&#xff0c;这篇文章给出了很多优化推荐系统中的工程性经验和trick&#xff0c;比如召回方面的"example age", “负采样”&#xff0c;“非对称消费&#xff0c;防止泄露”&…

MySQL/MariaDB 如何查看当前的用户

MySQL 的所有数据库用户信息是存储在 user 数据表中的。 可以在登录成功数据后运行 SQL&#xff1a; MariaDB [(none)]> select user,host from user;就可以查看到数据中的所有用户信息。 MariaDB [(none)]> select user,host from user; ERROR 1046 (3D000): No databa…

ReactJS中使用TypeScript

TypeScript TypeScript 实际上就是具有强类型的 JavaScript&#xff0c;可以对类型进行强校验&#xff0c;好处是代码阅读起来比较清晰&#xff0c;代码类型出现问题时&#xff0c;在编译时就可以发现&#xff0c;而不会在运行时由于类型的错误而导致报错。但是&#xff0c;从…

OpenHarmony实战开发-如何实现自定义绘制 (XComponent)

XComponent组件作为一种绘制组件&#xff0c;通常用于满足开发者较为复杂的自定义绘制需求&#xff0c;例如相机预览流的显示和游戏画面的绘制。 其可通过指定其type字段来实现不同的功能&#xff0c;主要有两个“surface”和“component”字段可供选择。 对于“surface”类型…

图像处理ASIC设计方法 笔记19 连通域标记ASIC系统设计

目录 核心的模块有:标记ASIC的工作流程如下:该芯片的系统结构具有如下特点:P131 第6章 连通域标记与轮廓跟踪 本章节讲述了多值分割图像连通域标记芯片的系统设计 多值分割图像连通域标记芯片(以下简称"标记芯片",也称"标记 ASIC"),完成图像连通域标…

OpenHarmony南向开发—如何快速上手GN

背景 最近在研究鸿蒙操作系统的开源项目OpenHarmony&#xff0c;该项目使用了GNNinja工具链进行配置&#xff0c;编译&#xff0c;于是开始研究GN如何使用。 本文的所有信息均来自GN官网和本人个人体会。 GN快速入门 使用GN GN的主要功能是根据配置文件&#xff08;.gn, BU…

什么ISP是住宅IP,和普通IP有什么区别?

ISP&#xff08;Internet Service Provider&#xff09;即互联网服务提供商&#xff0c;是向广大用户综合提供互联网接入业务、信息业务和增值业务的电信运营商。住宅IP&#xff0c;也称为家庭IP&#xff0c;是指由ISP分配给家庭或个人用户的IP地址。这些IP地址是真实的&#x…

Eclipse 如何导入一个 Maven 项目

如果你的项目是 Maven 项目的话&#xff0c;导入的时候需要使用 Import&#xff0c;而不能使用打开项目的方式。 选择导入 选择导入 Maven 项目 然后选择 Maven 项目&#xff0c;开始导入。 选择目录后导入 然后选择你需要导入的目录后&#xff0c;单击导入。 Eclipse 如何导…

短视频生成背景文字工具(前端工具)

过年这两天有些无聊就刷刷抖音&#xff0c;刷着刷着自己也蠢蠢欲动&#xff0c;想发上几个&#xff0c;可是却找不到合适自己的模板。由于个人喜欢一些古诗文之类的&#xff0c;所以自己简单的编写了一个小工具&#xff0c;如下图&#xff1a; 当设置好了之后&#xff0c;将浏…

STM32 HAL库F103系列之IIC实验

IIC总线协议 IIC总线协议介绍 IIC&#xff1a;Inter Integrated Circuit&#xff0c;集成电路总线&#xff0c;是一种同步 串行 半双工通信总线。 总线就是传输数据通道 协议就是传输数据的规则 IIC总线结构图 ① 由时钟线SCL和数据线SDA组成&#xff0c;并且都接上拉电阻…

机器学习:深入解析SVM的核心概念(问题与解答篇)【一、间隔与支持向量】

直接阅读原始论文可能有点难和复杂&#xff0c;所以导师直接推荐我阅读周志华的《西瓜书》&#xff01;&#xff01;然后仔细阅读其中的第六章&#xff1a;支持向量机 间隔与支持向量 问题一&#xff1a;什么叫法向量&#xff1f;为什么是叫法向量 在这个线性方程中&#xff…

Apache Seata如何解决TCC 模式的幂等、悬挂和空回滚问题

title: 阿里 Seata 新版本终于解决了 TCC 模式的幂等、悬挂和空回滚问题 author: 朱晋君 keywords: [Seata、TCC、幂等、悬挂、空回滚] description: Seata 在 1.5.1 版本解决了 TCC 模式的幂等、悬挂和空回滚问题&#xff0c;这篇文章主要讲解 Seata 是怎么解决的。 今天来聊一…

SQLite尽如此轻量

众所周知&#xff0c;SQLite是个轻量级数据库&#xff0c;适用于中小型服务应用等&#xff0c;在我真正使用的时候才发现&#xff0c;它虽然轻量&#xff0c;但不知道它却如此轻量。 下载 官网&#xff1a; SQLite Download Page 安装 1、将下载好的两个压缩包同时解压到一个…

【Vue3+Tres 三维开发】02-Debug

预览 介绍 Debug 这里主要是讲在三维中的调试,同以前threejs中使用的lil-gui类似,TRESJS也提供了一套可视化参数调试的插件。使用方式和之前的组件相似。 使用 通过导入useTweakPane 即可 import { useTweakPane, OrbitControls } from "@tresjs/cientos"const {…

大数据面试题 —— Spark数据倾斜及其解决方案

目录 1 调优概述2 数据倾斜发生时的现象3 数据倾斜发生的原理4 如何定位导致数据倾斜的代码4.1 某个 task 执行特别慢的情况4.2 某个 task 莫名其妙内存溢出的情况5 查看导致数据倾斜的 key 的数据分布情况6 数据倾斜的解决方案6.1 使用 Hive ETL 预处理数据6.2 过滤少数导致倾…

Xcode 15构建问题

构建时出现的异常&#xff1a; 解决方式&#xff1a; 将ENABLE_USER_SCRIPT_SANDBOXING设为“no”即可&#xff01;

【Linux命令行艺术】1. 初见命令行

&#x1f4da;博客主页&#xff1a;爱敲代码的小杨. ✨专栏&#xff1a;《Java SE语法》 | 《数据结构与算法》 | 《C生万物》 |《MySQL探索之旅》 |《Web世界探险家》 ❤️感谢大家点赞&#x1f44d;&#x1f3fb;收藏⭐评论✍&#x1f3fb;&#xff0c;您的三连就是我持续更…

基于自注意力机制的长短期记忆神经网络(LSTM-SelfAttention)的回归预测

提示&#xff1a;MATLAB版本需要R2023a以上 基于自注意力机制的长短期记忆神经网络&#xff08;LSTM-SelfAttention&#xff09;是一种用于时序数据预测的模型。这个模型结合了两个不同的结构&#xff0c;即长短期记忆网络&#xff08;LSTM&#xff09;和自注意力机制&#xff…
最新文章