芯片验证 | SystemVerilog使用简介

内容来自白皮书,仅做复习记录!


SystemVerilog是一种面向对象的编程语言。与非面向对象的编程语言(如C语言)相比,面向对象语言最重要的特点是所有的功能都要在类(class)里实现。

A.1 结构体的使用

在非面向对象编程中,最经常使用的就是函数。

要实现一个功能,那么就要实现相应的函数。当要实现的功能比较简单时,函数可以轻易地完成目标。

如计算一串数据流的CRC校验值,虽然CRC的算法比较复杂,但是完全可以用一个函数实现。

但是,当要实现的功能比较复杂时,仅仅使用函数实现会显得比较笨拙。

假设某动物园要实现一个简单的园内动物管理系统,这个系统要具有如下的功能:统计园内所有的动物的信息,如名字、出生年月、类别(是否能飞翔)、每天进食量、是否健康等。

打印动物园内所有动物的信息。要实现上述的这些功能,仅仅考虑如何写函数是不够的,需要考虑如何存储这些信息,即要考虑数据结构。

伟大的EDA让电路设计也变成了程序设计!!!

程序设计=算法+数据结构。所以,在程序设计的开始阶段定一个好的数据结构就相当于成功了一半。

在程序设计语言中,一般都支持结构体。以C语言为例,可以使用struct声明一个结构体(这个结构体中有些信息的定义并不完善,如生日、类别等,但是作为例子足以说明问题):

struct animal {
   char name[20];
   int  birthday;/*example: 20030910*/
   char category[20];/*example: bird, non_bird*/
   int  food_weight;
   int  is_healthy;
};

当声明了结构体后,可以定义结构变量,并将结构变量作为函数的参数来实现上述功能:

void print_animal(struct animal * zoo_member){
   printf("My name is %s\n", zoo_member->name);
   printf("My birthday is %d\n", zoo_member->birthday);
   printf("I am a %s\n", zoo_member->category);
   printf("I could eat %d gram food one day\n", zoo_member->food_weight);
   printf("My healthy status is %d\n", zoo_member->is_healthy);
}
void main()
{
   struct animal members[20];
   strcpy(members[0].name, "parrot");
   members[0].birthday = 20091021;
   strcpy(members[0].category, "bird");
   members[0].food_weight = 20;
   members[0].is_healthy = 1;
   print_animal(&members[0]);
}

A.2 从结构体到类

结构体简单地将不同类型的几个数据放在一起,使得它们的集合体具有某种特定的意义。与这个结构体相对应的是一些函数操作(上节中只列出了print_animal函数)。

对于这些函数来说,如果没有了结构体变量,它们就无法使用;**对于结构体变量来说,如果没有这些函数,那么结构体也没有任何意义。**对于二者间如此亲密的关系,面向对象的开创者们开创出了类(class)的概念。

类将结构体和它相应的函数集合在一起,成为一种新的数据组织形式。

在这种新的数据组织形式中,有两种成分,

  • 一种是来自结构体的数据变量,在类中被称为成员变量;
  • 另外一种来自与结构体相对应的函数,被称为一个类的接口:
class animal;
   string name;
   int  birthday;/*example: 20030910*/
   string category;/*example: bird, non_bird*/
   int  food_weight;
   int  is_healthy;
   function void print();
      $display("My name is %s", name);
      $display("My birthday is %d", birthday);
      $display("I am a %s", category);
      $display("I could eat %d gram food one day", food_weight);
      $display("My healthy status is %d", is_healthy);
   endfunction
endclass

当一个类被定义好后,需要将其实例化才可以使用。当实例化完成后,可以调用其中的函数:

initial begin
   animal members[20];
   members[0] = new();
   members[0].name = "parrot";
   members[0].birthday = 20091021;
   members[0].category = "bird";
   members[0].food_weight = 20;
   members[0].is_healthy = 1;
   members[0].print();
end

这里使用了new函数。new是一个比较特殊的函数,在类的定义中,没有出现new的定义,但是却可以直接使用它。

在面向对象编程的术语中,new被称为构造函数。

编程语言会默认提供一个构造函数,所以这里可以不定义而直接使用它。

A.3 类的封装

如果只是将结构体和函数集合在一起,那么类的优势并不明显,面向对象编程也不会如此流行。让面向对象编程流程的原因是类还额外具有一些特征。

这些特征是面向对象的精髓。

通常来说,类有三大特征:封装、继承和多态。本节讲述封装。

在上节的例子中,animal中所有的成员变量对于外部来说都是可见的,所以在initial语句中可以直接使用直接引用的方式对其进行赋值。

这种直接引用的方式在某种情况下是危险的。当不小心将它们改变后,那么可能会引起致命的问题,这有点类似于全局变量。

由于对全局是可见的,所以全局变量的值可能被程序的任意部分改变,从而导致一系列的问题。

为了避免这种情况,面向对象的开发者们设计了私有变量(SystemVerilog中为local,其他编程语言各不相同,如private)这一类型。当一个变量被设置为local类型后,那么这个变量就会具有两大特点:

此变量只能在类的内部由类的函数/任务进行访问。

在类外部使用直接引用的方式进行访问会提示出错。

class animal;
   string name;
   local int  birthday;/*example: 20030910*/
   local string category;/*example: bird, non_bird*/
   local int  food_weight;
   local int  is_healthy;
endclass

由于不能进行直接引用式的赋值,所以需要在类内部定义一个初始化函数来对类进行初始化:

function void init(string iname, int ibirthday, string icategory, int ifood _ weight, int iis_healthy);
    name = iname;
    birthday = ibirthday;
    category = icategory;
    food_weight = ifood_weight;
    is_healthy = iis_healthy;
endfunction

除了成员变量可以被定义为local类型外,函数/任务也可以被定义为local类型。

这种情况通常用于某些底层函数,如animal有函数A,它会调用函数B。

B函数不会也不应被外部调用,这种情况下,就可以将其声明为local类型的:

local function void B();
   …
endfunction

A.4 类的继承

面向对象编程的第二大特征就是继承。

在一个动物园中,有两种动物,一种是能飞行的鸟类,一种是不能飞行的爬行动物。假设动物园中有100只鸟类、200只爬行动物。

在建立动物园的管理系统时,需要实例化100个animal变量,这100个变量的category都要设置为bird,同时需要实例化200个animal变量,这200个变量的category都要设置为non_bird。

100次或者200次做同样一件事情是比较容易出错的。

考虑到这种情况,面向对象编程的开创者们提出了继承的概念。分析所要解决的问题,并找出其中的共性,用这些共性构建一个基类(或者父类);

在此基础上,将问题分类,不同的分类具有各自的共性,使用这些分类的共性构建一个派生类(或者子类)。

一个动物园中所有的动物都可以抽像成上节所示的animal类,在animal类的基础上,派生(继承)出bird类和non_bird类:

class bird extends animal;
   function new();
      super.new();
      category = "bird";
   endfunction
endclass
class non_bird extends animal;
   function new();
      super.new();
      category = "non_bird";
   endfunction
endclass

当子类从父类派生后,子类天然地具有了父类所有的特征,父类的成员变量也是子类的成员变量,父类的成员函数同时也是子类的成员函数。

除了具有父类所有的特征外,子类还可以有自己额外的成员变量和成员函数,如对于bird类,可以定义自己的fly函数:

class bird extends animal;
   function void fly();
     …
   endfunction
endclass

在上一节中讲述封装时,提到了local类型成员变量。如果一个变量是local类型的,那么它是不能被外部直接访问的。

如果父类中某成员变量是local类型,那么子类是否可以使用这些变量?

答案是否定的。对于父类来说,子类算是“外人”,只是算是比较特殊的“外人”而已。

如果想访问父类中的成员变量,同时又不想让这些成员变量被外部访问,那么可以将这些变量声明为protected类型:

class animal;
   string name;
   protected int  birthday;/*example: 20030910*/
   protected string category;/*example: bird, non_bird*/
   protected int  food_weight;
   protected int  is_healthy;
endclass

与local类似,protected关键字同样可以应用于函数/任务中,这里不再举例。

A.5 类的多态

多态是面向对象编程中最神奇的一个特征,但是同时也是最难理解的一个特征。对于初学者来说,可以暂且跳过本节。

当对SystemVerilog有一定使用经验时再过来看本节,效果会更好。

假设在animal中有函数print_homehown:

class animal;
   function void print_hometown();
      $display("my hometown is on the earth!");
   endfunction
endclass

同时,在bird和non_bird类中也有自己的print_hometown函数:

class bird extends animal;
   function void print_hometown();
      $display("my hometown is in sky!");
   endfunction
endclass
class non_bird extends animal;
   function void print_hometown();
      $display("my hometown is on the land!");
   endfunction
endclass

现在,有一个名字为print_animal的函数:

print_animal的参数是一个animal类型的指针,如果实例化了一个bird,并且将其传递给print_animal函数,这样做是完全允许的,因为bird是从animal派生的,所以bird本质上是个animal:

initial begin
   bird members[20];
   members[0] = new();
   members[0].init("parrot", 20091021, "bird", 20, 1);
   print_animal(members[0]);
end

只是,这样打印出来的结果是“my hometown is on the earth!”,而期望的结果是“my hometown is in sky!”。如果要想得到正确的结果,那么在print_animal函数中调用print_ hometown之前要进行类型转换:

function automatic void print_animal2(animal p_animal);
   bird p_bird;
   non_bird p_nbird;
   p_animal.print();
   if($cast(p_bird, p_animal))
      p_bird.print_hometown();
   else if($cast(p_nbird, p_animal))
      p_nbird.print_hometown();
endfunction

如果将members[0]作为参数传递给此函数,那么可以得到期待的结果。cast是一个类型转换函数。

从animal向bird或者non_bird类型的转换是父类向子类的类型转换,这种类型转换必须通过cast来完成。

但是反过来,子类向父类的类型转换可以由系统自动完成,如调用print_animal时,members[0]是bird类型的,系统自动将其转换成animal类型。

但是print_animal2的作法显得非常复杂,并且代码的可重用性不高。

现在只有bird和non_bird类型,如果再多加一种类型,那么就需要重新修改这个函数。

在调用print_animal和print_animal2时,传递给它们的members[0]本身是bird类型的,那么有没有一种方法可以自动调用bird的print_hometown函数呢?

这个问题的答案就是虚函数。

在animal、bird、non_bird中分别定义print_hometown2函数,只是在定义时其前面要加上virtual关键字:

class animal;
   virtual function void print_hometown2();
      $display("my hometown is on the earth!");
   endfunction
endclass
class bird extends animal;
   virtual function void print_hometown2();
      $display("my hometown is in sky!");
   endfunction
endclass
class non_bird extends animal;
   virtual function void print_hometown2();
      $display("my hometown is on the land!");
   endfunction
endclass

在print_animal3中调用此函数:

function automatic void print_animal3(animal p_animal);
   p_animal.print();
   p_animal.print_hometown2();
endfunction

在initial语句中将members[0]传递给此函数后,打印出的结果就是“my hometown is insky!”,这正是想要的结果。如果在initial中实例化了一个non_bird,并将其传递给print_animal3:

initial begin
   non_bird members[20];
   members[0] = new();
   members[0].init("tiger", 20091101, "non_bird", 2000, 1);
   print_animal(members[0]);
end

那么打印出的结果就是“my hometown is on the land!”。在print_animal3中,同样都是调用print_hometown2函数,但是输出的结果却不同,表现出不同的形态,这就是多态。

多态的实现要依赖于虚函数,普通的函数,如print_hometown是不能实现多态的。

A.6 randomize与constraint

SystemVerilog是一门用于验证的语言。验证中,很重要的一条是能够产生一些随机的激励。为此,SystemVerilog为所有的类定义了randomize方法:

class animal;
   bit [10:0] kind;
   rand bit[5:0] data;
   rand int addr;
endclass
initial begin
   animal aml;
   aml = new();
   assert(aml.randomize());
end

在一个类中只有定义为rand类型的字段才会在调用randomize方法时进行随机化。上面的定义中,data和addr会随机化为一个随机值,而kind在randomize被调用后,依然是默认值0。

与randomize对应的是constraint。constraint是SystemVerilog中非常有特色也是非常有用的一个功能。在不加任何约束的情况下,上述animal中的data经过随机化后,其值为0~’h3F中的任一值。可以定义一个constraint对其值进行约束:

class animal;
   rand bit[5:0] data;
   constraint data_cons{
     data > 10;
     data < 30;
}
endclass

经过上述约束后,data在随机时,其值将会介于10~30之间。

除了在类的定义时对数据进行约束外,还可以在调用randomize时对数据进行约束:

initial begin
   animal aml;
   aml = new();
   assert(aml.randomize() with {data > 10; data < 30;});
end

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/589447.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

C#知识|Dictionary泛型集合的使用总结

哈喽,你好,我是雷工! 以下是C#Dictionary泛型集合的学习笔记。 01 Dictionary泛型集合 1.1、Dictionary<K,V>通常称为字典, 1.2、其中<K,V>是自定义的,用来约束集合中元素类型。 1.3、在编译时检查类型约束, 1.4、无需装箱拆箱操作, 1.5、操作与哈希表(Ha…

C++ string类

目录 0.前言 1.为什么学习string类 1.1 C语言字符串的局限性 1.2 C string类的优势 2.标准库中的string类 2.1 字符串作为字符序列的类 2.2 接口与标准容器类似 2.3 基于模板的设计 2.4 编码和字符处理 3.string类的常用接口说明 3.1构造函数 3.1.1默认构造函数 3…

前端Web开发基础知识

HTML定义 超文本标记语言&#xff08;英语&#xff1a;HyperText Markup Language&#xff0c;简称&#xff1a;HTML&#xff09;是一种用于创建网页的标准标记语言。 什么是 HTML? HTML 是用来描述网页的一种语言。 HTML 指的是超文本标记语言: HyperText Markup LanguageH…

ELK Stack 8 接入ElasticFlow

介绍 Netflow v5 / v9 / v10&#xff08;IPFIX&#xff09;&#xff0c;支持大部分网络厂商及VMware的分布式交换机。 NetFlow是一种数据交换方式。Netflow提供网络流量的会话级视图&#xff0c;记录下每个TCP/IP事务的信息。当汇集起来时&#xff0c;它更加易于管理和易读。…

EasyExcel 处理 Excel

序言 本文介绍在日常的开发中&#xff0c;如何使用 EasyExcel 高效处理 Excel。 一、EasyExcel 是什么 EasyExcel 是阿里巴巴开源的一个 Java Excel 操作类库&#xff0c;它基于 Apache POI 封装了简单易用的 API&#xff0c;使得我们能够方便地读取、写入 Excel 文件。Easy…

常用AI工具分享 + IDEA内使用通义灵码

引言 随着人工智能技术的飞速发展&#xff0c;AI工具已经渗透到我们日常生活和工作的各个领域&#xff0c;带来了前所未有的便利。现在我将分享一下常用的AI工具&#xff0c;以及介绍如何在IDEA中使用通义灵码。 常用AI工具 1. 通义灵码 (TONGYI Lingma) - 由阿里云开发的智能…

Neo4j v5 中 Cypher 的变化

How Cypher changed in Neo4j v5 Neo4j v5 中 Cypher 的变化 几周前&#xff0c;Neo4j 5 发布了。如果你像我一样&#xff0c;在 Neo4j 4 的后期版本中忽略了所有的弃用警告&#xff0c;你可能需要更新你的 Cypher 查询以适应最新版本的 Neo4j。幸运的是&#xff0c;新的 Cyp…

【翻译】REST API

自动伸缩 API 创建或更新自动伸缩策略 API 此特性设计用于 Elasticsearch Service、Elastic Cloud Enterprise 和 Kubernetes 上的 Elastic Cloud 的间接使用。不支持直接用户使用。 创建或更新一个自动伸缩策略。 请求 PUT /_autoscaling/policy/<name> {"rol…

什么是UDP反射放大攻击,有什么安全措施可以防护UDP攻击

随着互联网的飞速发展和业务复杂性的提升&#xff0c;网络安全问题日益凸显&#xff0c;其中分布式拒绝服务&#xff08;DDoS&#xff09;攻击成为危害最为严重的一类网络威胁之一。 近些年&#xff0c;网络攻击越来越频繁&#xff0c;常见的网络攻击类型包括&#xff1a;蠕虫…

AI图书推荐:用ChatGPT快速创建在线课程

您是否是您领域的专家&#xff0c;拥有丰富的知识和技能可以分享&#xff1f;您是否曾想过创建一个在线课程&#xff0c;但被这个过程吓倒了&#xff1f;那么&#xff0c;是时候把这些担忧放在一边&#xff0c;迈出这一步了&#xff01;有了这本指南和ChatGPT的帮助&#xff0c…

ssh远程访问windows系统下的jupyterlab

网上配置这一堆那一堆&#xff0c;特别乱&#xff0c;找了好久整理后发在这里 由于既想打游戏又想做深度学习&#xff0c;不舍得显卡性能白白消耗&#xff0c;这里尝试使用笔记本连接主机 OpenSSH 最初是为 Linux 系统开发的&#xff0c;现在也支持包括 Windows 和 macOS 在内…

[1673]jsp在线考试管理系统Myeclipse开发mysql数据库web结构java编程计算机网页项目

一、源码特点 JSP 在线考试管理系统是一套完善的java web信息管理系统&#xff0c;对理解JSP java编程开发语言有帮助&#xff0c;系统具有完整的源代码和数据库&#xff0c;系统主要采用B/S模式开发。开发环境为TOMCAT7.0,Myeclipse8.5开发&#xff0c;数据库为Mysql5.0&…

R语言学习—4—数据矩阵及R表示

1、创建向量、矩阵 在R中&#xff0c;c()函数用于创建向量或组合数据对象。它在某些情况下可能会被省略&#xff0c;因为R有一些隐式的向量创建规则。例如&#xff0c;当你使用:操作符创建一个数字序列时&#xff0c;R会自动创建一个向量&#xff0c;所以你不需要显式地调用c()…

《QT实用小工具·五十二》文本或窗口炫酷有趣的滚动条——果冻条

1、概述 源码放在文章末尾 该项目实现了文本或窗口纤细的滚动条——果冻条 一个可以像弓弦一样拉出来&#xff0c;并且来回弹动的普通滚动条。 思路为此&#xff0c;但发现实际效果更像条状果冻&#xff0c;并且略有谐音&#xff0c; 故&#xff0c;称之为——“果冻条”&am…

条件依赖性的方法示例

5个条件判断一件事情是否发生&#xff0c;每个条件可能性只有2种&#xff08;发生或者不发生&#xff09;&#xff0c;计算每个条件对这件事情发生的影响力&#xff0c;条件之间有很强的依赖关系。 例一 如果条件之间有很强的依赖关系&#xff0c;那么简单地计算每个条件独立的…

初探 Google 云原生的CICD - CloudBuild

大纲 Google Cloud Build 简介 Google Cloud Build&#xff08;谷歌云构建&#xff09;是谷歌云平台&#xff08;Google Cloud Platform&#xff0c;GCP&#xff09;提供的一项服务&#xff0c;可帮助开发人员以一致和自动化的方式构建、测试和部署应用程序或构件。它为构建和…

B树:原理、操作及应用

B树&#xff1a;原理、操作及应用 一、引言二、B树概述1. 定义与性质2. B树与磁盘I/O 三、B树的基本操作1. 搜索&#xff08;B-TREE-SEARCH&#xff09;2. 插入&#xff08;B-TREE-INSERT&#xff09;3. 删除&#xff08;B-TREE-DELETE&#xff09; 四、B树的C代码实现示例五、…

基于 Wireshark 分析 IP 协议

一、IP 协议 IP&#xff08;Internet Protocol&#xff09;协议是一种网络层协议&#xff0c;它用于在计算机网络中实现数据包的传输和路由。 IP协议的主要功能有&#xff1a; 1. 数据报格式&#xff1a;IP协议将待传输的数据分割成一个个数据包&#xff0c;每个数据包包含有…

mac电脑关于ios端的appium真机自动化测试环境搭建

一、app store 下载xcode,需要登录apple id 再开始下载 二、安装homebrew 1、终端输入命令&#xff1a; curl -fsSL <https://gitee.com/cunkai/HomebrewCN/raw/master/Homebrew.sh>如果不能直接安装&#xff0c;而是出现了很多内容&#xff0c;那么这个时候不要着急&…

06.Git远程仓库

Git远程仓库 #仓库种类&#xff0c;举例说明 github gitlab gitee #以这个仓库为例子操作登录码云 https://gitee.com/projects/new 创建仓库 选择ssh方式 需要配置ssh公钥 在系统上获取公钥输入命令&#xff1a;ssh-keygen 查看文件&#xff0c;复制公钥信息内…
最新文章