vivado产生报告阅读分析22

Advanced ”选项卡
Advanced 高级 选项卡如下图所示。
在“ Advanced ”选项卡中提供了以下字段
• “ Report 报告 ): 选中“ Advanced ”选项卡中的“ Cells to Analyze 待分析的单元 选项即可指定要使用的分层单元。单击右侧“Browse 浏览 按钮即可打开搜索对话框 并查找单元对象。该选项用于将时序和复杂性分析限制在报告范围内。
• “ File Output 文件输出 ): 生成 GUI 报告 并将结果写入文件。请选择“ Export to file 导出到文件 并在右侧字段中指定文件名。单击“Browse 浏览 按钮可选择不同目录。
等效的 Tcl 选项 -file <arg>
选择“ Overwrite 覆盖 选项 即可用新的分析结果覆盖现有文件。
选择“ Append 追加 可追加新结果。
等效的 Tcl 选项 -append
• “ Miscellaneous 其他 ): Miscellaneous ”字段提供了在命令执行期间忽略命令错误和暂挂消息限制的选项。 等效的 Tcl 选项 -quiet/-verbose
Timer Settings ”选项卡
在下图所示“ Timer Settings 定时器设置 选项卡中 包含下列字段和选项。
• “ Interconnect ”选项
• “ Multi-Corner Configuration ”字段
• “ Disable Flight Delays ”选项
Interconnect ”选项
您可选择时序路径分析中使用的互连模型
• “ actual 实际值 ): 此模型为已布线的设计提供最准确的延迟。
• “ estimated 估算值 ): 此模型包含基于设计布局和连接到器件 实现前 的方式所估算的互连延迟。即使设计已完全布线, 仍可指定估算延迟。
• “ none ): 时序分析中不包含互连延迟。仅应用逻辑延迟。这有助于识别路径中逻辑延迟超出或者占用大量时序路径要求的区域。
等效的 Tcl 命令
set_delay_model -interconnect <arg>
Multi-Corner Configuration ”字段
您可使用该字段中的可用选项来限制由 Vivado 时序分析引擎执行的默认四角分析 如果适用
等效的 Tcl 命令 config_timing_corners -corner <arg> -delay_type <arg>
Disable Flight Delays ”选项
您可选择该选项以禁用向 I/O 时序计算添加封装延迟。
等效的 Tcl 命令 config_timing_analysis -disable_flight_delays <arg>
仅限命令行使用的选项
以下时序选项仅限通过 Tcl 命令行使用 可配合 -name 选项一起使用以生成 GUI 报告。
csv <filename>.csv 用于搭配时序路径选项生成 CSV 文件。对大量路径进行排序时 该选项很有用。
-routed_vs_estimated 该选项用于报告相同路径的估算延迟与实际布线延迟的并列对比结果。报告中的“Timing Category 时序类别 中的某些字段带有“ Estimated ”或“ Routed ”前缀以便比较。
-return_timing_paths 返回时序路径对象 以支持对位于指定时钟域内的特定逻辑层次的路径进行进一步分析。必须同时搭配 -end_point_clock 选项和 -logic_levels 选项使用。
-end_point_clock <arg> 用于将逻辑层次分布报告部分限制为具有指定端点时钟的时序路径。
-logic_levels <arg> 该选项可限制逻辑层次发送到逻辑层次直方图算法的时序路径。只能指定单个值。
-min_level <arg> 该选项可将所含逻辑级数或布线数量小于指定值的所有时序路径都组合到单个分箱内。指定 <arg> 值后 必须至少为其传递值 1
-max_level <arg> 该选项可将所含逻辑级数或布线数量大于指定值的所有时序路径都组合到单个分箱内。指定 <arg> 值后 该值必须大于 -min_level 的值。
以下复杂性选项仅限通过命令行使用 可配合 -name 选项一起使用以生成 GUI 报告。
-bounding_boxes <arg> 该选项用于执行指定边界框的复杂性分析。例如
-bounding_boxes { "CLE_M_X21Y239:CLEL_R_X28Y254"
"CLEL_R_X18Y171:CLE_M_X26Y186" }

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/187314.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

Flink Flink中的分流

一、什么是分流 所谓“分流”&#xff0c;就是将一条数据流拆分成完全独立的两条、甚至多条流。也就是基于一个DataStream&#xff0c;定义一些筛选条件&#xff0c;将符合条件的数据拣选出来放到对应的流里。 二、基于filter算子的简单实现分流 其实根据条件筛选数据的需求…

lvm 扩容根分区失败记录

lvm 扩容根分区失败记录 1、问题描述2、错误描述3、解决方法重启系统进入grub界面&#xff0c;选择kernel 2.x 启动系统。然后同样的resize2fs命令扩容成功。 1、问题描述 根分区不足。 系统有2个内核版本&#xff0c;一个是kernel 2.x&#xff0c;另一个是kernel 4.x。 这次l…

《微信小程序从入门到精通》---笔记1

小程序&#xff0c;我又来学习啦&#xff01;请多关照~ 项目驱动 小程序开发建议使用flex布局在小程序中&#xff0c;页面渲染和业务逻辑是分开的&#xff0c;分别运行在不同的线程中。Mini Program于2017年1月7号正式上线小程序的有点&#xff1a;跨平台、开发门槛低、开发周…

盘点60个Python爬虫源码Python爱好者不容错过

盘点60个Python爬虫源码Python爱好者不容错过 爬虫&#xff08;Spider&#xff09; 学习知识费力气&#xff0c;收集整理更不易。 知识付费甚欢喜&#xff0c;为咱码农谋福利。 链接&#xff1a;https://pan.baidu.com/s/1JWrDgl46_ammprQaJiKqaQ?pwd8888 提取码&#xff…

机器学习与因果推断的高级实践 | 数学建模

文章目录 因果推断因果推断的前世今生&#xff08;1&#xff09;潜在结果框架&#xff08;Potential Outcome Framework&#xff09;&#xff08;2&#xff09;结构因果模型&#xff08;Structual Causal Model&#xff0c;SCM&#xff09; 身处人工智能爆发式增长时代的机器学…

战地5无限序章(无法保存)的解决办法

启动游戏后&#xff0c;目录就会自动变成这样了&#xff0c;也不会无限循环了&#xff01;

Flash Attention:高效注意力机制的突破与应用

注意力机制彻底改变了自然语言处理和深度学习领域。它们允许模型在执行机器翻译、语言生成等任务时专注于输入数据的相关部分。 在这篇博客[1]中&#xff0c;我们将深入研究被称为“Flash Attention”的注意力机制的突破性进展。我们将探讨它是什么、它是如何工作的&#xff0c…

【matlab程序】matlab给风速添加图例大小

【matlab程序】matlab给风速添加图例大小 clear;clc;close all; % load 加载风速数据。 load(matlab.mat) % 加载颜色包信息 gray load(D:\matlab_work\函数名为colormore的颜色索引表制作\R_color_txt\R_color_single\gray89.txt); brown load(D:\matlab_work\函数名为color…

解决在Windows10或Windows11下无权限修改hosts文件

解决在Windows10或Windows11下无权限修改hosts文件&#xff0c;无法写入内容 1、首先在开始菜单中找到这个 2、接着输入&#xff1a; C:\Windows\System32\drivers\etc3、再次输入以下命令行&#xff1a;notepad hosts &#xff0c;并回车&#xff1a; notepad hosts 4、然后…

2023 年 认证杯 小美赛 国际大学生数学建模挑战赛 |数学建模完整代码+建模过程全解全析

当大家面临着复杂的数学建模问题时&#xff0c;你是否曾经感到茫然无措&#xff1f;作为2022年美国大学生数学建模比赛的O奖得主&#xff0c;我为大家提供了一套优秀的解题思路&#xff0c;让你轻松应对各种难题。 cs数模团队在认证杯 小美赛前为大家提供了许多资料的内容呀&am…

01_MySQL介绍及安装

#任务背景 一、真实案例 某公司现在有几套不同版本的MySQL数据库&#xff0c;现在大部分的生产和测试环境都已经切换到5.7版本&#xff0c;由于历史原因&#xff0c;有一套测试环境版本为MySQL-5.5。现为了将测试环境版本统一&#xff0c;需要将原来测试环境数据库MySQL-5.5版…

基于ThinkPHP8 + Vue3 + element-ui-plus + 微信小程序(原生) + Vant2 的 BBS论坛系统设计【PHP课设】

一、BBS论坛功能描述 我做的是一个论坛类的网页项目&#xff0c;每个用户可以登录注册查看并发布文章&#xff0c;以及对文章的点赞和评论&#xff0c;还有文件上传和个人签名发布和基础信息修改&#xff0c;管理员对网站的数据进行统计&#xff0c;对文章和文件的上传以及评论…

AtomicReference原子引用类-线程安全

简介与作用&#xff1a; AtomicReference是Java中的一个原子类&#xff0c;它的主要作用是提供了一种原子操作的方式来更新对象的引用。它通常用于多线程环境下&#xff0c;用来解决并发访问共享对象时可能出现的竞态条件问题。 &#xff08;实际开发中用于某个数据模型更新&a…

小程序姓名:ssm+vue基本微信小程序的个人健康管理系统

项目介绍 首先,论文一开始便是清楚的论述了小程序的研究内容。其次,剖析系统需求分析,弄明白“做什么”,分析包括业务分析和业务流程的分析以及用例分析,更进一步明确系统的需求。然后在明白了小程序的需求基础上需要进一步地设计系统,主要包罗软件架构模式、整体功能模块、数…

83基于matlab 的时钟时间识别GUI

基于matlab 的时钟时间识别GUI。图像去除背景-转化为二值化图像-找出对应的直线边缘-找到秒针、分针、时针对应的直线&#xff0c;并算出斜率、角度-判断时间&#xff0c;分针与时针 &#xff08;度数&#xff09;。数据可更换自己的&#xff0c;程序已调通&#xff0c;可直接运…

代码随想录算法训练营第30天|回溯总结 332. 重新安排行程

回溯是递归的副产品&#xff0c;只要有递归就会有回溯&#xff0c;所以回溯法也经常和二叉树遍历&#xff0c;深度优先搜索混在一起&#xff0c;因为这两种方式都是用了递归。 回溯法就是暴力搜索&#xff0c;并不是什么高效的算法&#xff0c;最多再剪枝一下。 回溯算法能解…

C语言—一维数组在内存中的存放

1、先看代码&#xff1a; #define _CRT_SECURE_NO_WARNINGS 1#include<stdio.h> int main() {int arr[]{1,2,3,4,5,6,7,8,9,10}; int szsizeof(arr)/sizeof(arr[0]);int i0;for(i0;i<sz;i){printf("&arr[%d] %p\n",i,&arr[i]);}return 0; } 2、定…

JAVA毕业设计112—基于Java+Springboot+Vue的宠物领养社区小程序(源码+数据库)

基于JavaSpringbootVue的宠物领养社区小程序(源码数据库)112 一、系统介绍 本系统前后端分离带小程序 小程序&#xff08;用户端&#xff09;&#xff0c;后台管理系统&#xff08;管理员&#xff09; 小程序&#xff1a; 登录、注册、宠物领养、发布寻宠、发布领养、宠物社…

单文件组件MVVM

单文件组件&MVVM 所谓组件化开发&#xff0c;就是创建一个个组件。 Vue是一个大类&#xff0c;渲染一切从new Vue开始。 指定视图&#xff1a;el template render:jsx语法 $mount[数学公式] 编译App.vue&#xff0c;作为视图入口 单个组件&#xff1a;结构 样式 data compu…

Vatee万腾的科技探险:vatee数字化力量的前瞻征途

在Vatee万腾的科技探险中&#xff0c;我们领略到了一场数字化力量的前瞻征途&#xff0c;这是一次引领未来的创新之旅。Vatee万腾以其独特的科技理念和数字化力量&#xff0c;开启了一次引领行业的前瞻性征途&#xff0c;为数字化未来描绘出了崭新的篇章。 Vatee万腾的数字化力…