vivado时序方法检查10

TIMING-41 内部管脚上定义的前向时钟无效
前向时钟 <clock_group> 是在管脚 <netlist_element> 上定义的 而不是在端口 <netlist_element> 上定义的。
描述
前向时钟是在连接到输出端口的叶节点管脚上定义的 而不是在输出端口本身上定义的。为了正确执行 I/O 时序计算, 前向时钟应在输出端口上定义。
解决方案
复查生成时钟约束 将前向时钟定义移至输出端口而不是内部叶节点管脚。
TIMING-42 在时钟树中检测到路径分段
<message_string> 延迟约束位置 <message_string> 当前正在管脚 <netlist_element> 上阻塞
<clock_group> 的传输。
描述
由于最小 / 最大延迟时序约束中的起点或端点无效 导致时钟树上检测到路径分段。发生此类情况时 本工具会对此无效管脚强制禁用时序弧 (timing arc) 以阻止时钟传输。这将导致时序验收不准确 并且设计可能在硬件中失败。
解决方案
复查应用于时钟树的最小 / 最大延迟约束 仅指定有效的起点和端点。
TIMING-43 千兆位收发器 (GT) 上存在最小周期或最小 脉冲宽度违例
GT 管脚 <instance/pin> 存在 MIN_PERIOD MIN_PULSE_WIDTH 违例。对于 GT 实例 Power Analysis Report” 功耗分析报告 并不准确。
描述
GT 时钟管脚上的最短周期检查可确保驱动 GT 实例的时钟的运行频率不高于原语内部硬件所能承受的频率。如果发生违例, 设计在硬件中可能发生时序收敛失败 功耗分析报告将变得不准确。
解决方案
要解决此违例 请检查相关器件系列的 AC DC 特性数据手册 查找此原语管脚允许的最大频率。
TIMING-44 不合理的用户时钟内部不确定性
<clock_name> 时钟上定义了 <delay> ns 用户时钟不确定性 请参阅 Vivado IDE 的“ Timing Constraint ”窗口中的约束位置 <position> 。用户时钟不确定性过高可能对时序收敛造成负面影响。请复查所需的用户时钟不确定性的量。
描述
不建议在 2 个时钟之间定义过高的用户时钟不确定性 因为它会影响时序收敛、编译时间和 QoR 。它还可能影响功耗, 导致无法收敛时序。
解决方案
复查用户时钟间不确定性 将其降低至所需的最小值。
TIMING-45 不合理的用户时钟间不确定性
<clock_name> 时钟与 <clock_name> 时钟之间定义了 <delay> ns 用户时钟不确定性 请参阅 Vivado IDE 的 “Timing Constraint ”窗口中的约束位置 <position> 。用户时钟不确定性过高可能对时序收敛造成负面影响。请复查所需的用户时钟不确定性的量。
描述
不建议定义过高的用户时钟内部不确定性 因为它会影响时序收敛、编译时间和 QoR 。它还可能影响功耗 导致无法收敛时序。
解决方案
复查用户时钟内部不确定性 将其降低至所需的最小值。
TIMING-46 多周期路径含绑定 CE 管脚
在具有直接连接的寄存器 <cell_name1> <cell_name2> 之间定义了一条或多条多周期路径 并且 CE 管脚连接到 VCC 。这可能导致路径要求不准确。
描述
源寄存器和目标寄存器的 CE 管脚不受动态信号控制 CE 管脚绑定到 VCC 。由于在源寄存器与目标寄存器之间存在直接数据路径连接, 因此基于单周期路径要求来捕获此路径上的数据。路径上定义的多周期路径并不表示硬件中的行为, 并且可能导致硬件中设计失败。
解决方案
应复查路径和时序约束。如果预计将绑定 CE 管脚 则需移除多周期路径。如果预计路径将成为多周期路径 那么源寄存器和/ 或目标寄存器的 CE 管脚应由动态信号驱动 此信号根据提供的多周期约束来进行切换。
示例
以下约束是由用户编写的 此约束应用于 2 个寄存器之间的数据路径 如以下板级原理图所示 其中目标寄存器的 CE管脚连接到 VCC
set_multicycle_path -setup -end -from [get_clocks -of [get_ports -
scoped_to_current_instance s_axi_ctrl_aclk]] -to [get_clocks -of [get_ports
-scoped_to_current_instance s_axi_hwa_aclk]] 2
在超出多周期路径作用域的每个时钟沿上检查是否发生数据更改。如有更改 请确保添加所需的逻辑 绑定到 CE 管脚)
下图显示了触发器到触发器路径 其中正确的时钟使能逻辑绑定到 CE 管脚 这样即可按交替时钟周期使能触发器
set_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins
data1_reg/D]

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/232143.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

计算机毕业设计 基于大数据的心脏病患者数据分析管理系统的设计与实现 Java实战项目 附源码+文档+视频讲解

博主介绍&#xff1a;✌从事软件开发10年之余&#xff0c;专注于Java技术领域、Python人工智能及数据挖掘、小程序项目开发和Android项目开发等。CSDN、掘金、华为云、InfoQ、阿里云等平台优质作者✌ &#x1f345;文末获取源码联系&#x1f345; &#x1f447;&#x1f3fb; 精…

Codeforces Round 913 (Div. 3) A~G

A.Rook&#xff08;循环&#xff09; 题意&#xff1a; 给出一个 8 8 8 \times 8 88的棋盘和一个棋子&#xff08;可以任选上下左右四方向移动任意步数&#xff09;&#xff0c;问一次移动可以到达哪些格子。 分析&#xff1a; 使用for循环对棋子所在的行列进行遍历并输出…

【词云图】从excel和从txt文件,绘制以句子、词为单位的词云图

从excel和从txt文件&#xff0c;绘制以句子、词为单位的词云图 写在最前面数据说明&结论 从txt文件&#xff0c;绘制以句子、词为单位的词云图自我介绍 从excel&#xff0c;绘制以句子、词为单位的词云图读取excel绘制以句子、词为单位的词云图文章标题 写在最前面 经常绘…

【已解决】解决Win7虚拟机打开网页报错的情况

因为刚才下载了个虚拟机&#xff0c;同样出现了无法安装VMtools的情况&#xff0c;所以想直接通过虚拟机的浏览器来下载一个补丁&#xff08;因为自己的U盘在虚拟机上面无法识别&#xff0c;应该是太老了Win7&#xff09; 结果发现Win7内置的IE浏览器太拉了。于是向下载一个火…

掌握PyTorch数据预处理(一):让模型表现更上一层楼!!!

引言 在PyTorch中&#xff0c;数据预处理是模型训练过程中不可或缺的一环。通过精心优化数据&#xff0c;我们能够确保模型在训练时能够更高效地学习&#xff0c;从而在实际应用中达到更好的性能。今天&#xff0c;我们将深入探讨一些常用的PyTorch数据预处理技巧&#xff0c;…

前端uniapp知识点总结

1、谈谈你对uni-app的理解 uni-app是一个使用Vue.js开发所有前端应用的框架&#xff0c;真正做到一套代码多端发行。开发者编写一套代码&#xff0c;可以发布到IOS、Android、Web&#xff08;响应式&#xff09;、以及各种小程序、快应用等多个平台。Uniapp中&#xff0c;使用…

Nginx的性能优化、安全以及防盗链配置

目录 一、nginx的日志分割 二、nginx性能优化之启用epoll模型 三、nginx性能优化之设置worker进程数并与cpu进行绑核 四、nginx性能优化之调整worker的最大打开文件数和最大处理连接请求数量 五、nginx性能优化之启用gzip压缩&#xff0c;提高传输&#xff0c;减少带宽 六…

自行编写一个简单的shell!

本文旨在编写一个简单的shell外壳程序&#xff01;功能类似于shell的一些基本操作&#xff01;虽然不能全部实现shell的一些功能&#xff01;但是通过此文章&#xff0c;自己写一个简单的shell程序也是不成问题&#xff01;并且通过此文章&#xff0c;可以让读者对linux中一些环…

入门Redis学习总结

记录之前刚学习Redis 的笔记&#xff0c; 主要包括Redis的基本数据结构、Redis 发布订阅机制、Redis 事务、Redis 服务器相关及采用Spring Boot 集成Redis 实现增删改查基本功能 一&#xff1a;常用命令及数据结构 1.Redis 键(key) # 设置key和value 127.0.0.1:6379> set …

[仅供学习,禁止用于违法]编写一个程序来手动设置Windows的全局代理开或关,实现对所有网络请求拦截和数据包捕获(抓包或VPN的应用)

文章目录 介绍一、实现原理二、通过注册表设置代理2.1 开启代理2.2 关闭代理2.3 添加代理地址2.4 删除代理设置信息 三、代码实战3.1 程序控制代理操作控制3.1.1 开启全局代理3.1.2 添加代理地址3.1.3 关闭代理开关3.1.4 删除代理信息 3.2 拦截所有请求 介绍 有一天突发奇想&am…

Avaya Aura Device Services 任意文件上传漏洞复现

0x01 产品简介 Avaya Aura Device Services是美国Avaya公司的一个应用软件。提供一个管理 Avaya 端点功能。 0x02 漏洞概述 Avaya Aura Device Services 系统PhoneBackup接口处存在任意文件上传漏洞&#xff0c;攻击者可绕过验证上传任意文件获取服务器权限。 0x03 影响范围…

代码随想录算法训练营第四十天|139.单词拆分,多重背包,背包问题

139. 单词拆分 - 力扣&#xff08;LeetCode&#xff09; 给你一个字符串 s 和一个字符串列表 wordDict 作为字典。请你判断是否可以利用字典中出现的单词拼接出 s 。 注意&#xff1a;不要求字典中出现的单词全部都使用&#xff0c;并且字典中的单词可以重复使用。 示例 1&a…

【C++】输入输出流 ⑤ ( cin 输入流对象 | cin.ignore() 函数 | cin.peek() 函数 | cin.putback() 函数 )

文章目录 一、cin.ignore() 函数1、cin.ignore() 函数简介2、cin.ignore() 函数原型3、代码示例 - cin.ignore() 函数 二、cin.peek() 函数1、cin.peek() 函数简介2、代码示例 - cin.peek() 三、cin.putback() 函数1、cin.putback() 函数简介2、代码示例 - cin.putback() 一、c…

智能优化算法应用:基于粒子群算法3D无线传感器网络(WSN)覆盖优化 - 附代码

智能优化算法应用&#xff1a;基于粒子群算法3D无线传感器网络(WSN)覆盖优化 - 附代码 文章目录 智能优化算法应用&#xff1a;基于粒子群算法3D无线传感器网络(WSN)覆盖优化 - 附代码1.无线传感网络节点模型2.覆盖数学模型及分析3.粒子群算法4.实验参数设定5.算法结果6.参考文…

IntelliJ IDEA创建一个Maven项目

在IDEA中创建Maven项目&#xff0c;前提是已经安装配置好Maven环境 。 本文主要使用的是IntelliJ IDEA 2022.2.1 (Community Edition) 1.创建一个新project:File>Project 2.修改Maven配置&#xff1a;File>Settings>搜索maven 创建好的工程如下&#xff1a; src/main…

探索 PDM:新一代的 Python 包管理工具

更多资料获取 &#x1f4da; 个人网站&#xff1a;ipengtao.com PDM&#xff08;Python Development Master&#xff09;是一款新一代的 Python 包管理工具&#xff0c;旨在提供更为现代化、可靠且灵活的解决方案。与传统的 pip 和 Poetry 相比&#xff0c;PDM 在依赖版本管理…

点云 ros PointCloud2格式与livox CustomMsg格式介绍

点云 ros PointCloud2格式与livox CustomMsg格式介绍 PointCloud2 点云格式livox CustomMsg 点云格式 PointCloud2 点云格式 PointCloud2 是ros的一种点云格式 具体官方数据 http://docs.ros.org/en/jade/api/sensor_msgs/html/msg/PointCloud2.html std_msgs/Header header…

Qt/C++音视频开发57-切换音视频轨道/切换节目流/分别切换音频视频轨道

一、前言 对各种音视频文件格式的支持&#xff0c;是一个播放器的基础功能。一般的音视频文件只有1路流&#xff0c;比如音频文件只有1路音频流&#xff0c;视频文件只有1路音频1路视频流&#xff0c;实践过程中发现&#xff0c;还有一种ts格式的文件&#xff0c;可能有多路流…

基于SSM的成绩管理系统的设计与实现

末尾获取源码 开发语言&#xff1a;Java Java开发工具&#xff1a;JDK1.8 后端框架&#xff1a;SSM 前端&#xff1a;Vue 数据库&#xff1a;MySQL5.7和Navicat管理工具结合 服务器&#xff1a;Tomcat8.5 开发软件&#xff1a;IDEA / Eclipse 是否Maven项目&#xff1a;是 目录…

qt:QMessageBox的常见用法

头文件&#xff1a;#include <QMessageBox> Infomation消息对话框 初始化格式&#xff1a; QMessageBox * msgBox new QMessageBox(QMessageBox::Information, "我是标题", "我是提示文字", 按钮); 按钮可以是以下取值&#xff0c;会在按键上显示…
最新文章