Vivado使用记录(未完待续)

一、Zynq开发流程

二、软件安装

三、软件使用

字体大小修改:Setting、Font

四、Vivado基本开发流程

1、创建工程

  • Quick Start 组包含有 Create Project(创建工程)、 Open Project(打开工程)、 Open Example Project(打开实例工程)。
  • Tasks 组包含有 Manage IP(管理 IP)、 Open Hardware Manager(打开硬件管理器)、 Xilinx Tcl Store( Tcl 脚本存储库)。
  • Learning Center 组包含有 Documentation and Tutorials(文档和教程)、 Quick Take Videos(快速上手视频)、 Release Notes Guide(发布注释向导)。

xc 代表 Xilinx 公司, 7z 代表是 7 系列 Zynq 芯片, 015 为芯片编号,clg 代表封装工艺, 485 代表封装的引脚个数为 485 个, -2 代表芯片速度等级为2。

对于软件的各个功能,只需将光标放在对应功能选项上,便会在左下角出现相应的说明。

2、添加源文件

(1)在 Flow Navigator 下,找到 Project Manager 并展开,单击 ProjectManager 下的 Add Sources 选项;或者在 Sources 面板下单击 按钮。

(2)Add or Create Constraints(添加或者创建约束);Add or Create Design Sources(添加或者创建设计源文件);Add or Create Simulation Sources(添加或者创建仿真文件);

(3)Add Files 来选择添加本地现有的源文件,或单击Create File 按钮来创建一个新的源文件。

(4)在该对话框中选择文件的类型和输入文件的名字。OK->Finish。

(5)在Define Moudle此处添加设计源文件中的顶层端口信号。OK

(6)添加源文件完成,led_flash.v。双击led_flash.v查看程序。

(7)补全代码

module led_flash(
    input clk,
    input rst_n,
    output reg led
    );
    reg[25:0] cnt;
    
    always @ (posedge clk or negedge rst_n)
    begin
        if(!rst_n)
            cnt <= 26'd0;
        else if (cnt <= 26'd49_999_999)
            cnt <= cnt + 1'd1;
        else
            cnt <= 26'd0;
    end
    
    always @ (posedge clk or negedge rst_n)
    begin
    if(!rst_n)
        led <= 1'd0;
    else if (cnt <= 26'd49_999_999)
        led <= ~led;
    else
        led <= led;
    end
endmodule

3、RTL描述与分析

Verilog语法错误在编写的过程中会自动检测。RTL 描述与分析功能可以对工程的 RTL结构、语法进行查看,进而可以分析并修正逻辑上的错误。

(1)在 Flow Navigator 下,找到 RTL Analysis,并展开。单击Open Elaborated Design,打开 Elaborated Design。可 以看到 Open Elaborated Design 标题变为 Elaborated Design。等运行完成。

(2)Schematic( RTL 原理图)

4、激励创建与仿真测试

5、设计综合

(1)在 Flow Navigator 窗口下,找到 Synthesis 并展开。在展开项中,单击 Run Synthesis 开始对设计进行综合。

(2)当综合完成后,出现 Synthesis Completed 对话框。可以选择 Open Synthesis Design 来打开综合后的设计进行观察,单击 OK按钮。

(3)如果之前打开了 Elaborated Design,会出现关闭 Elaborated Design的提示对话框。单击 Yes 按钮即可。

(4)在设计综合完成后的 Synthesis 展开项中工具会列出诸多可以在当前设计下进行的操作。注意在流程向导窗口中 Synthesis下的 Open Synthesized Design 在综合完成并打开设计后变为 Synthesized Design。出来一大堆东西。

(5)在打开 Synthesis Design 后,默认打开 Schematic 视图,如图所示。如果没有打开,在 Synthesis Design 下单击 Schematic 即可打开。显然,在综合后的原理图视图中,电路网表即采用 FPGA 器件中拥有的基本元件来搭建了,如图中的 LUT6 查找表。

(6)查看 LUT6 的内部映射关系。在原理图窗口内选择 LUT6 对应的 Cell。在 Sources 窗口下方的 Cell Properties 窗口中,选择 Truth Table,可以看到逻辑表达式 O=!I5 + !I0 & !I1 & I5 + !I0 & I1 &I2 & !I4 + !I0 & !I2 & I3 & !I4 以及真值表映射关系。

(7)单击 Synthesis Design 下的 Report Utilization 选项。出现 Report Utilization 对话框,如图所示。通过 Report Utilization 功能,我们可以得到工具针对当前设计的资源利用率的详细报告。单击 OK 按钮。 Vivado 开始计算该设计的资源消耗量。

(8)在 Vivado 下方打开了 Utilization-utilization_1 标签窗口。如图所示,给出了该设计的资源利用率:

(9)在查看 Schematic 视图时,不同的阶段,得到的图的体系结构不一定相同,RTL 级别、 Synthesized Design 级别、 Implementation 级别,不一定相同。

6、添加设计约束

FPGA 设计需要在工具中指定对应的 IO 引脚位置以及输入时钟的信息,即需要用户对 IO 进行约
束以及进行时钟周期等时序约束。

(1)在综合完成后的设计上点击 Open Synthesized Design 来打开综合后的设计;在菜单栏视图处下拉 I/O Planning view 点击进入 IO 规划视图界面。

(2)选择之后会进入 IO分配界面, 该界面可用于分配 IO引脚、约束引脚电平、设置驱动强度等等,这里我们需要为设计中的输入输出信号分配 IO 引脚并约束电平。通过原理图查看信号,分配到正确的引脚。左上角保存。

7、设计实现

(1)优化设计 Opt Design : 针对所选器件,对逻辑设计进行优化,以便达到最优实现;
(2)功耗优化设计 Power Opt Design (可选):从降低功耗的角度,对逻辑设计进行优化;
(3)布局设计 Place Design(必选):将设计网表在所选器件上进行布局;
(4)布局后功耗优化 Post-Place Power Opt Design (可选):在布局之后的网表基础上优化功耗;
(5)布局后物理优化 Post-Place Phys Opt Design (可选):在布局之后的网表基础上进行物理优化,主要针对时序性能;
(6)布线设计 Route Design(必选): 在布局后的设计上,进行布线;
(7)布线后物理优化 Post-Route Phys Opt Design (可选):在布线后的设计上,参考布线后的设计延时,对逻辑、布局、布线等情况再次进行优化。

具体步骤:

(1)在 Flow Navigator 下找到并展开 Implementation,如图所示。单击 Run Implementation 选项,开始执行实现过程。

(2)实现过程完成后会出现如图所示的 Implementation Completed 对话框。选择 Open Implemented Design,单击 OK 按钮。

(3)打开 Implemented Design 后, 调整结构图,可以清晰地看到该设计用到的器件和器件之间的连线(即布线)。

8、生成比特流文件

设计的最后一步是将 Vivado 实现产生的网表文件转化为比特流文件,并且将比特流文件下载到 FPGA 芯片中。比特流文件用于完成对 FPGA 进行配置。

(1)在 Flow Navigator 窗口下找到 Program and Debug 选项并展开。单击 Generate Bitstream 选项,开始生成比特流文件。

(2)随后软件会出现弹窗提醒运行综合和实现,这里需要选择在本地主机上运行,同时建议将 jobs 设置为最大值,这样能最快的运行完成。

(3)随后等待 bit 文件生成完成,软件会出现如图所示的 Bitstream GenerationCompleted 对话框,选择 Open Hardware Manager 选项。单击 OK 按钮。

(4)软件会为我们打开 Hardware Manager 界面,该界面用于烧录和调试。如果没有打开该界面,则单击 Open Hardware Manager 打开 Hardware Manager。Vivado 右上侧出现 Hardware Manager 界面。

9、硬件连接与烧录

连接USB Type-C和电源线。拨动电源开关到 ON 侧。

(1)在 Hardware Manager 界面中,单击 AutoConnect 连接设备。 如果 Auto Connect 无法连接,则需要检查开发板是否上电或驱动是否安装成功。

(2)Auto Connect 后软件会自动检测并连接器件。

(3)在 Hardware Manager 界面中找到并右键单击 xc7z015_1( 1),在浮动菜单中单击Program Device…选项。

(4)出现 Program Device 对话框。默认情况下比特流文件会自动选中,如果没有单击“…”按钮,找到并选中 led_flash.bit 文件。在 Program Device 对话框中单击 Program 按钮,开始烧录。

(5)观察上板现象,通过观察 LED D0是否按照预期的,每秒进行一次翻转,验证设计是否正确。

10、逻辑程序固化

程序固化就是将程序烧录到非易失性存储器(如 QSPI Flash、 NAND Flash等)上,这样即使开发板断电后,程序也不会丢失。
ZYNQ-7000 系列器件,由于芯片并未向 PL 端提供控制非易失性存储器件的引脚,用户只能使用 SDK 软件通过 PS 端进行固化。
 

五、硬件调试:ILA的使用

六、Vivado Simulator仿真软件的使用

七、Zynq程序固化

合成BOOT.bin文件

1.在你工程的Zynq IP核中,使能QSPI或SD

2.Generate Bitstream。

 3.导出硬件。

4.运行SDK,保持默认,OK。

5.生成FSBL.elf。

6.生成BOOT.bin文件:点一下FSBL。

第一种:固化到QSPI Flash。

1.确保开发板启动模式为JTAG模式,使用Type-C线连接调试接口(右侧)。

2.在SDK界面,点击Xilinx,选择Program Flash。

3.把BOOT.bin和FSBL.elf放进去,点击Program。

4.开发板断电,启动模式改为QSPI模式。完成。

如果失败请检查IP核QSPI设置、生成的文件、操作是否有问题。

第二种:固化到SD卡。

1.准备SD卡,和读卡器。将SD插入读卡器,插入电脑USB口中。接着将SD卡格式化(其他资料请自行保存)为FAT32格式。

2.格式化之后将生成的BOOT.bin文件拷贝到SD卡根目录上。弹出读卡器,拔下SD卡。

3.将SD卡正确安装到开发板上。

4.开发板断电,切换到SD卡启动模式,开发板上电。

如果失败请检查IP核SD设置、生成的文件、操作是否有问题。

常见问题:

【Zynq】按键控制led固化实验失败及解决办法 - ACZ702开发板 - 芯路恒电子技术论坛 - Powered by Discuz! (corecourse.cn)

【Zynq】非原工程路径导致的zynq程序固化失败解决办法 - ACZ702开发板 - 芯路恒电子技术论坛 - Powered by Discuz! (corecourse.cn)

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/438652.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

无纸化电子sop系统帮助企业降低成本,提高目视化管理

无纸化电子SOP系统是一种基于数字化技术的生产管理系统&#xff0c;旨在优化员工的生产规范&#xff0c;提高产品质量。随着制造业的发展和数字化转型&#xff0c;越来越多的企业开始采用无纸化电子SOP系统来替代传统的纸质操作规程&#xff0c;以提升生产效率、降低成本、确保…

【变量提升】关于JavaScript变量提升的理解,它导致了什么问题?

&#x1f601; 作者简介&#xff1a;一名大四的学生&#xff0c;致力学习前端开发技术 ⭐️个人主页&#xff1a;夜宵饽饽的主页 ❔ 系列专栏&#xff1a;JavaScript小贴士 &#x1f450;学习格言&#xff1a;成功不是终点&#xff0c;失败也并非末日&#xff0c;最重要的是继续…

JumpServer 简介安装

目录 1、概念介绍 JumpServer 概述 JumpServer 功能 JumpServer 组件 JumpServer 架构 2、前置安装 环境要求 安装 ELRepo 库 更新内核 设置 grub2 安装 Python 配置 Python 虚拟环境 3、安装 Jumpserver Core 组件 下载安装 替换客户端组件 安装 Python 依赖库…

力扣刷题

文章目录 1. 双指针1.1 两数之和1.2 三数之和1.3 盛最多水的容器1.4 接雨水 2. 字串2.1 滑动窗口最大值 3. 动态规划4. 多维动态规划4.1 最长回文字串 1. 双指针 1.1 两数之和 思路&#xff1a;因为是有序数组&#xff0c; 1.2 三数之和 题目要求不能重复 思路&#xff1a;三…

FPGA 串口多字节发送,串口回环测试

串口接收 串口帧 设计文件 timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2023/01/12 23:11:28 // Design Name: // Module Name: UART_Byte_Rx // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies…

python螺旋数字矩阵

python螺旋数字矩阵 给出数字个数n&#xff0c;输出1-n (0<n ≤999)和行数m (0<m ≤ 999)&#xff0c;从左上角的1开始&#xff0c;按照顺时针螺旋向内写方式&#xff0c;依次写出2,3.….&#xff0c;最终形成一个m行矩阵。 1.每行数字的个数一样多 2.列的数量尽可能少 3…

01-环境搭建、SpringCloud微服务-黑马头条

环境搭建、SpringCloud微服务(注册发现、服务调用、网关) 1)课程对比 2)项目概述 2.1)能让你收获什么 2.2)项目课程大纲 2.3)项目概述 随着智能手机的普及&#xff0c;人们更加习惯于通过手机来看新闻。由于生活节奏的加快&#xff0c;很多人只能利用碎片时间来获取信息&…

【netty系列-02】深入理解socket本质和BIO底层实现

Netty系列整体栏目 内容链接地址【一】深入理解网络通信基本原理和tcp/ip协议https://zhenghuisheng.blog.csdn.net/article/details/136359640【二】深入理解Socket本质和BIOhttps://zhenghuisheng.blog.csdn.net/article/details/136549478 深入理解socket本质和bio底层实现 …

【RT-DETR有效改进】全新的SOATA轻量化下采样操作ADown(轻量又涨点,附手撕结构图)

一、本文介绍 本文给大家带来的改进机制是利用2024/02/21号最新发布的YOLOv9其中提出的ADown模块来改进我们的Conv模块,其中YOLOv9针对于这个模块并没有介绍,只是在其项目文件中用到了,我将其整理出来用于我们的RT-DETR的项目,经过实验我发现该卷积模块(作为下采样模块)…

蓝桥杯备赛之二分专题

常用的算法二分模板 1. 在数组a[]中找大于等于x的第一个数的下标 //int ans lower_bound(a, a n, x) - a //相当于下方 int l 0, r n - 1; while(l < r) {int mid l r >> 1;if(a[mid] > x) r mid;else l mid 1; } cout << r;2. 在数组a[]中找大于…

间隔5分钟执行1次Python脚本设置步骤 —— 定时执行专家

《定时执行专家》是一款制作精良、功能强大、毫秒精度、专业级的定时任务执行软件&#xff0c;用于在 Windows 系统上定时执行各种任务&#xff0c;包括执行脚本或程序。 下面是使用 "定时执行专家" 软件设置定时执行 Python 脚本的步骤&#xff1a; 步骤 1: 设置 P…

图遍历算法

图的遍历算法有两种&#xff1a;深度优先遍历、广度优先遍历算法。 深度优先遍历算法就是从起始结点开始&#xff0c;只要有相邻且未被访问的结点就要直接进行访问&#xff0c;直到最后不能向下遍历为止&#xff0c;再回溯寻找下一个策略。 广度优先遍历算法&#xff0c;就是从…

像SpringBoot一样使用Flask - 1.新建一个Flask项目

感谢各位对上一篇文章的喜爱&#xff0c;从事10年开发&#xff0c;希望借此可以简单实操下从SpringBoot到Flask的转型&#xff0c;少走一点弯路&#xff0c;多花一点时间在处理实际问题。 一、用pycharmConda新建一个Flask项目 二、得到一个Flask项目 三、运行起来访问下&#…

Spring Boot中实现图片上传功能的两种策略

&#x1f31f; 前言 欢迎来到我的技术小宇宙&#xff01;&#x1f30c; 这里不仅是我记录技术点滴的后花园&#xff0c;也是我分享学习心得和项目经验的乐园。&#x1f4da; 无论你是技术小白还是资深大牛&#xff0c;这里总有一些内容能触动你的好奇心。&#x1f50d; &#x…

selenium也能过某数、5s盾..

文章转载于&#xff1a;selenium也能过某数、5s盾… 直接安装: pip install undetected_chromedriver运行代码&#xff1a; import undetected_chromedriver as uc import timedriver uc.Chrome(executable_pathrC:\Users\chromedriver.exe,version_main111) driver.get(网…

单链表的实现(数据结构)

本篇博客主要是单链表&#xff08;无头单项不循环&#xff09;的实现的代码分享 说明&#xff1a;因为此单链表无头&#xff08;哨兵位&#xff09;&#xff0c;可以说成没有初始化也可以说初始化时没有一个有效地址作为单链表的起始地址 例如下面代码中的plist NULL。 所以在…

DEYO: DETR with YOLO for End-to-End Object Detection论文翻译

DEYO&#xff1a;DETR与YOLO用于端到端目标检测 摘要 DETR的训练范式在很大程度上取决于在ImageNet数据集上预训练其骨干。然而&#xff0c;由图像分类任务和一对一匹配策略提供的有限监督信号导致DETR的预训练不充分的颈部。此外&#xff0c;在训练的早期阶段匹配的不稳定性会…

iStoreOS系统内安装HomeAssistant服务

iStoreOS系统内安装HomeAssistant服务 1. HomeAssistant服务 HomeAssistant是一款基于Python的开源智能家居系统&#xff0c;简称HA。 HomeAssistant可以方便地连接各种外部设备&#xff0c;如智能设备、摄像头、邮件、短消息和云服务等&#xff0c;其成熟的可连接组件有近千…

【重温设计模式】迭代器模式及其Java示例

迭代器模式的介绍 在编程领域&#xff0c;迭代器模式是一种常见的设计模式&#xff0c;它提供了一种方法&#xff0c;使得我们可以顺序访问一个集合对象中的各个元素&#xff0c;而又无需暴露该对象的内部表示。你可以把它想象成一本书&#xff0c;你不需要知道这本书是怎么印…

最佳牛围栏(二分 + 前缀和)

最佳牛围栏 原题链接&#xff1a;https://www.acwing.com/problem/content/104/ 题目 思路 我们发现若是枚举答案的话&#xff0c;那么我们判断是否存在一个平均值大于等于mid&#xff0c;如果最优解是x&#xff0c;那么mid < x的时候&#xff0c;必然可以找到一段&#x…
最新文章