vivado 调试术语

1、ILA
Integrated Logic Analyzer (ILA) 功能支持您在 FPGA Versal 器件上对实现后的设计执行系统内调试。需要监控设计 内的信号时, 应使用此功能。另外 您还可以使用此功能触发硬件事件并以系统级速度采集数据。 ILA 核心可在 RTL 代码中例化 或者也可在 Vivado 设计流程中完成综合后插入。本指南的“系统内逻辑设计”和“在 硬件中调试逻辑设计”部分包含有关 ILA 核及其在 Vivado ® Design Suite 中的使用方法的详细信息。如需获取有关 ILA IP 核的详细文档记录 请参阅《 Integrated Logic Analyzer LogiCORE IP 产品指南》 ( PG172 )
2、 VIO
Virtual Input/Output (VIO) 调试功能可实时监控和驱动内部 FPGA Versal ACAP 信号。如果无法通过物理方式访问 目标硬件, 则可使用此调试功能来驱动并监控真实硬件上存在的信号。 此调试核需在 RTL 代码中例化 因此您需要事先明确要驱动的信号线。该核列在 IP 目录的“ Debug ”类别下。本指南 的“在硬件中调试逻辑设计”部分包含有关 VIO 核及其在 Vivado Design Suite 中的使用方法的详细信息。如需获取有 关 VIO IP 核的详细文档记录 请参阅《 Virtual Input/Output LogiCORE IP 产品指南》 ( PG159 )
3、IBERT
Integrated Bit Error Ratio Tester (IBERT) Serial Analyzer 设计支持系统内串行 I/O 验证和调试。这样您即可在基于 FPGA 的系统内对自己的高速串行 I/O 链路进行测量和最优化。赛灵思建议使用 IBERT Serial Analyzer 来解决各种系统 内调试和验证问题, 从简单的时钟设置和连接问题到复杂的裕度分析和通道最优化问题都不在话下。 赛灵思建议在向接收到的信号应用接收器均衡后, 使用 IBERT Serial Analyzer 来测量信号质量。这样可确保在发射到接 收通道中的最优点执行测量, 从而确保获取真实准确的数据。用户可通过在 IP 目录中选择、配置和生成 IBERT 核并选 择该核的“打开设计示例 (Open Example Design) ”功能来访问此设计。本指南的“串行 I/O 硬件调试流程”和“在硬 件中调试串行 I/O 设计”提供了有关 IBERT 核及其在 Vivado Design Suite 中的使用方法的更多详情。如需获取有关 IBERT 设计的详细文档记录 请参阅《 Integrated Bit Error Ratio Tester 7 Series GTX Transceivers LogiCORE IP 产品指 南》( PG132 ) 、《 Integrated Bit Error Ratio Tester 7 Series GTP Transceivers LogiCORE IP 产品指南》 ( PG133 ) 和 《Integrated Bit Error Ratio Tester 7 Series GTH Transceivers LogiCORE IP 产品指南》 ( PG152 )
4、JTAG-to-AXI Master
注释 Versal ACAP 器件上不支持 JTAG-to-AXI Master 因为内置 CIPS AXI Master 接口可搭配 Debug Packet Controller (DPC) 来生成 AXI 传输事务 无需其它 IP 。 JTAG-to-AXI Master 调试功能用于生成 AXI 传输事务 这些传输事务将与硬件中运行的系统中的各种 AXI-Full AXI
Lite 从核进行交互。赛灵思建议使用该核在运行时生成 AXI 传输事务以及调试或驱动 FPGA 内部 AXI 信号。该核也可 在无处理器的设计内使用。 该核列在 IP 目录的“ Debug ”类别下。本指南的“在硬件中调试逻辑设计”部分包含有关 JTAG-to-AXI Master 核及其 在 Vivado Design Suite 中的使用方法的详细信息。如需获取有关 JTAG-to-AXI IP 核的详细文档记录 请参阅《 JTAG to AXI Master LogiCORE IP 产品指南》 ( PG174 )
5、 Debug Hub
7 系列和 UltraScale 架构上 Vivado Debug Hub 核可在 FPGA 器件的 JTAG 边界扫描 (BSCAN) 接口与下列类型的 Vivado 调试核之间提供 1 个接口
Integrated Logic Analyzer (ILA)
Virtual Input/Output (VIO)
Integrated Bit Error Ratio Tester (IBERT)
JTAG-to-AXI
• 存储器 IP
重要提示 Vivado Debug Hub 核无法例化到设计中。该核由 Vivado opt_design 阶段中插入。
6、AXI4 Debug Hub 在 Versal ACAP 架构上 AXI4 Debug Hub 作为 IP 可在 CIPS AXI4 Master 接口与 Vivado 硬件调试核上的 AXI4-Stream 接口之间提供接口 其中包括
Integrated Logic Analyzer (ILA)
Virtual Input/Output (VIO)
• 软核存储器 IP
注释 像先前架构一样 Versal 器件上 AXI4 Debug Hub 既可手动例化为 IP 也可在执行 opt_design 期间自动 插入。
7、System ILA
System Integrated Logic Analyzer (System ILA) IP 核是一种逻辑分析器 它支持您对 FPGA 器件上的实现后的设计执行 系统内调试。如需监控 IP integrator 块设计中的接口和信号 请使用此 IP 。另外 您还可以使用此功能来触发硬件事 件相关的接口和信号并以系统级速度采集数据。这样可确保对 FPGA ACAP 上的设计进行调试时 能够在硬件管理 器中直观演示接口事件。此 IP 可提供 AXI 接口调试和监控功能以及 AXI4-MM AXI4-Stream 协议检查能力。 由于 System ILA 核与受监控的设计同步 因此应用于您的设计的所有设计时钟约束也同样会应用于该 System ILA 核的 组件。如需获取有关 System ILA IP 核的详细文档记录 请参阅《 System Integrated Logic Analyzer LogiCORE IP 产品 指南》( PG261 )
注释 Versal 器件上 可通过使用 Versal ILA 核来使用 System ILA
8、Debug Bridge
注释 Versal 架构上不支持 Debug Bridge IP
Debug Bridge IP 核属于可提供多个选项的控制器 用于与设计中的调试核进行通信。 Debug Bridge 的主要用例是使用赛灵思虚拟线缆 (XVC) 通过以太网或其它接口远程调试设计 无需 JTAG 线缆。 另一种常见用例是用于调试 Dynamic Function eXchange 和含现场更新的串联 (Tandem with Field Updates) 设计。如需 了解有关 Tandem with Field Updates 流程和 Debug Bridge 的更多信息 请参阅《 UltraScale+ Integrated Block for PCI Express LogiCORE IP 产品指南》 ( PG213 ) 在 JTAG 并非首选通信和调试机制的系统中 也可将 Debug Bridge PCIe ® 核搭配使用。如需了解有关将 PCIe 核与 Debug Bridge 搭配使用的 XVC 流程的更多信息 请参阅《 UltraScale+ Integrated Block for PCI Express LogiCORE IP 产品指南》( PG213 ) 。 如需获取有关 Debug Bridge IP 核的详细文档 请参阅《 Debug Bridge LogiCORE IP 产品指南》 ( PG245 )
9、In-System IBERT
注释 In-System IBERT 仅在 UltraScale UltraScale+ 上受支持。
In-System IBERT IP 支持您使用 Vivado Serial I/O Analyzer 对设计中的 UltraScale UltraScale+ 收发器执行二维眼图 扫描。当收发器与系统其余部分进行交互时, IP 会使用来自设计的数据来实时绘制收发器眼图。此 IP 可与设计中的 用户逻辑或基于赛灵思收发器的 IP 例如 GT Wizard Aurora 集成。 如需获取有关 In-System IBERT IP 的详细文档记录 请参阅《 In-System IBERT LogiCORE IP 产品指南》 ( PG246 )
10、IBERT GTR
IBERT UltraScale+ GTR 可用于评估和监控 Zynq UltraScale+ MPSoC 器件中的 GTR 收发器。您可利用此功能完成以下
任务
• 对用户数据执行眼图扫描
• 更改 GTR 设置
• 查看链路状态
• 检查所有 GTR 通道使用的所有 PLL 的“锁定”状态 但 IBERT GTR 无法提供以下功能
• 对原始 PRBS 数据模式执行眼图扫描
• 测量误码率 无比特计数器或误差计数器
请注意 这是基于软件的解决方案 在器件的可编程逻辑中无需 IP 或逻辑。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/497106.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

Linux系统下C++程序运行时的内存布局及存储内容,生命周期,初始化时机。【C++】

Linux系统下C程序运行时的内存布局及存储内容,生命周期,初始化时机详解。 1.程序代码段存储内容生命周期初始化时机特点代码演示 2.初始化数据段 - 只读初始化数据段存储内容生命周期初始化时机特点代码演示 3.初始化数据段 - 读写初始化数据段存储内容生…

aws使用记录

数据传输(S3) 安装命令行 安装awscli: https://docs.aws.amazon.com/zh_cn/cli/latest/userguide/getting-started-install.html#getting-started-install-instructions 直到 aws configure list 可以运行 身份验证: 运行: aws config…

35岁的程序员,该何去何从?

2024 年,是充满未知和挑战的一年。AI 的发展日新月异,已经有人用它来写代码了,啥时候会替代程序员,可真不好说。另一方面,程序员扎堆的 IT 互联网行业却进入了平台期甚至下行期,降本增效成为行业主流&#…

SAP_MMQM模块-采购收货质量控制

采购订单收货如何控制质量检验后再放行使用,针对目前了解到的功能,有以下三种方案; 一、启用QM模块,正常使用MIGO收货-移动类型101,入库后产生检验批,产生质检库存,质检部检验合格后&#xff0…

Vue2(十一):脚手架配置代理、github案例、插槽

一、脚手架配置代理 1.回顾常用的ajax发送方式: (1)xhr 比较麻烦,不常用 (2)jQuery 核心是封装dom操作,所以也不常用 (3)axios 优势:体积小、是promis…

Unbtun-arach64架构安装PySide2(python3.6)

aarch平台是无法通过pip安装PySide2的,同时利用源码下载一直报错 1. 我是python3.6.9,在官网上找到对应的PySide2版本 5.15.2.所以首先在官网下载Qt5.15.2的源码:https://download.qt.io/archive/qt/5.15/5.15.2/single/ 2. 编译qt环境 aar…

C++基础11:模板与命名空间

此专栏为移动机器人知识体系下的编程语言中的 C {\rm C} C从入门到深入的专栏,参考书籍:《深入浅出 C {\rm C} C》(马晓锐)和《从 C {\rm C} C到 C {\rm C} C精通面向对象编程》(曾凡锋等)。 10.模板与命名空间 10.1 模板简述 模板使函数和类的处理对象…

RecyclerView notifyItemChanged 之后的源码分析

注意:本文是基于 androidx.RecyclerView 1.3.2 版本的源码分析。默认使用 DefaultItemAnimator,如果使用了其他的 ItemAnimator,可能会有不同的表现。 效果图: 示例代码如下: binding.btnNotifyItemChanged.setOnCli…

openstack 不能调度到某主机上分析

dashboard显示有足够资源创建虚拟机 创建一个1c2g20g配置的虚拟机,在过滤时把10-197-0-2这个主机过滤掉了,日志如下: 2024-03-25 17:52:14.087 26 DEBUG nova.scheduler.filters.disk_filter [req-8f2f32fb-1efe-4e5d-81fc-618210c7c76d 773…

TorchAcc:基于 TorchXLA 的分布式训练框架

演讲人:林伟,阿里云研究员,阿里云人工智能平台 PAI 技术负责人 本文旨在探讨阿里云 TorchAcc,这是一个基于 PyTorch/XLA 的大模型分布式训练框架。 过去十年 AI 领域的显著进步,关键在于训练技术的革新和模型规模的快…

【XXL-JOB】执行器架构设计和源码解析

简介 XXL-JOB是一个分布式任务调度平台,其核心设计目标是开发迅速、学习简单、轻量级、易扩展。现已开放源代码并接入多家公司线上产品线,开箱即用。 XXL-JOB分为B/S架构,调用中心是XXL-JOB服务端,执行器是客户端。 调度中心核…

【1】网络协议基础概念

【1】网络协议基础知识 1、互联网2、为什么要学习网络协议3、学习中需要搭建的环境4、客户端-服务器5、Java 的跨平台原理6、C/C的跨平台原理7、一个简单的SpringBoot项目(1) pom.xml(2) application.yml(3) NetworkStudyApp.java(4) SwaggerConfig.java(5) HelloWorldControll…

CXL系统架构

CXL系统架构 CXL支持三种设备类型,如下图。Type 1支持CXL.cache和CXL.io;Type 2支持CXL.cache,CXL.mem和CXL.io;Type 3支持CXL.mem和CXL.io。无论哪种类型,CXL.io都是不可缺少的,因为设备的发现&#xff0…

Deconstructing Denoising Diffusion Models for Self-Supervised Learning解读(超详细)

论文题目:Deconstructing Denoising Diffusion Models for Self-Supervised Learning 原文链接:https://arxiv.org/html/2401.14404v1 本文是对何凯明老师的新作进行的详细解读,其中穿插了一些思考,将从以下四个方面对这篇工作进…

3723. 字符串查询:做题笔记

目录 思路 代码 注意点 3723. 字符串查询 思路 这道题感觉和常见的前缀和问题不太一样,前缀和的另一种应用:可以统计次数。 这道题我们想判断一个单词的其中一段子序列A是否可以通过重新排列得到另一段子序列B。 我看到这道题的时候想着可能要判…

Gitlab 实现仓库完全迁移,包括所有提交记录、分支、标签

1 方案一&#xff1a;命令 cd <项目目录> git fetch --all git fetch --tags git remote rename origin old-origin #可以不保留 git remote add origin http://***(项目的新仓库地址) #git remote set-url origin <项目的新仓库地址> git push origin --all git…

Qt 多线程QThread的四种形式

重点&#xff1a; 1.互斥量&#xff1a;QMutex配套使用&#xff0c;lock(),unlock(),如果一个线程准备读取另一个线程数据时候采用tryLock()去锁定互斥量&#xff0c;保证数据完整性。 QMutexLocker简化版的QMutex,在范围区域内使用。 QMutex mutex QMutexLocker locker(&…

达梦数据库新手上路排坑

数据库安装 这个没啥说的&#xff0c;按照官网教程操作&#xff0c;我使用的是docker进行安装 下载文件docker文件 官方下载地址- load -i dm8****.tar (注意修改为当前下载的文件)达梦官方文档注意修改为当前版本 docker run -d -p 5236:5236 --name dm8 --privilegedtrue -…

程序员口才提升技巧:从技术到沟通的进阶之路

程序员口才提升技巧&#xff1a;从技术到沟通的进阶之路 在数字化时代&#xff0c;程序员作为推动技术发展的关键角色&#xff0c;其专业能力的重要性不言而喻。然而&#xff0c;除了编程技能外&#xff0c;良好的口才同样是程序员职业生涯中不可或缺的一部分。本文将探讨程序…

学透Spring Boot — [二] Spring 和 Spring Boot的比较

欢迎关注我们的专栏 学透 Spring Boot 一、创建一个简单Web应用 本篇文章&#xff0c;我们将会比较 Spring 框架和 Spring Boot 的区别。 什么是 Spring? 也许你在项目中已经可以很熟练的使用 Spring 了&#xff0c;但是当被问到这个问题时&#xff0c;会不会犹豫一下&#…
最新文章