Aurora8b10b(1)IP核介绍并基于IP核进行设计

文章目录

  • 前言
  • 一、IP核设置
  • 二、基于IP核进行设计
    • 2.1、设计框图
    • 2.2、aurora_8b10b_0模块
    • 2.3、aurora_8b10b_0_CLOCK_MODULE
    • 2.4、aurora_8b10b_0_SUPPORT_RESET_LOGIC
    • 2.5、aurora8b10b_channel模块
    • 2.6、IBUFDS_GTE2模块
    • 2.7、aurora_8b10b_0_gt_common_wrapper模块
    • 2.8、aurora8b10b_module模块
  • 总结

前言

参考xilinx文档pg046
Aurora 是一个用于在点对点串行链路间移动数据的可扩展轻量级链路层协议。这为物理层提供透明接口,让专有协议或业界标准协议上层能方便地使用高速收发器。虽然使用的逻辑资源非常少,但 Aurora 能提供低延迟高带宽和高度可配置的特性集。在 Xilinx FPGA 上使用是免费的,而且在 ASIC 上能以名义成本通过单独的许可证协议得到支持。
主要特性:

  • 高带宽,仅受限于收发器的数据速率
  • 支持大量键合线路,实现较高的总带宽
  • 支持全双工和单工通道
  • 无限帧尺寸/灵活组帧
  • 小型逻辑封装,采用标准的 AXI-ST 接口。
  • 内置流程控制和热插拔支持

Aurora 广泛用于需要背板、电路板间和芯片间连接的应用。细分市场包括有线通信、存储、服务器、测试测量、工业、消费和医疗等。此外,Aurora 也可用作嵌入式处理器应用中的调试端口。
在这里插入图片描述

一、IP核设置

IP核配置很简单,相当于只有第一页。
Lane WIDTH:用户侧数据位宽
Line Rate:线速率
GT Refclk :Aurora IP核是基于GT作为物理层实现的,所以时钟GT Refclk就是GT的参考时钟。GT的时钟参考只能使用外部的差分时钟,所以具体的值取决你板卡的硬件设计。
INIT CLK :初始化阶段的时钟 ,在上电初始化阶段,可以使用该时钟来驱动一些逻辑。
DRP CLK : DRP时钟,动态重配置,一般不用。(通常一个内部模块需要进行配置,使用两种方法:端口控制和配置参数控制。一个常见的应用就是线速率切换。这时候就需要利用DRP端口来调整部分参数的值,然后复位GTX,使GTX工作在不同的线速率下。)。
​ 上面这三个时钟,是IP核工作所需要的时钟,也是我们需要提供给IP核的。此外,还有一个时钟是IP核提供给我们的:user_clk。这个时钟,是IP核根据设置的线速率及Lane的位宽计算出来的用户时钟,用户需要传输的数据必须是该时钟域下的数据,否则会存在亚稳态风险。
Link Layer:选择工作模式(一般为全双工)、数据帧接口或者是流接口(一般使用帧接口,也就是AXIS),流控
在这里插入图片描述
第二页则是选择通道Lane数目以及位置,第三页选择共享逻辑位置。根据自己需求进行选择。

二、基于IP核进行设计

基于example design进行设计,许多模块也是从其中获取,然后进行简单的修改以方便我们使用。

2.1、设计框图

在这里插入图片描述

2.2、aurora_8b10b_0模块

该模块即为例化aurora8b10b IP核。如果看过之前的基于GTX IP核的设计,这些接口应该比较熟悉了。具体含义查看xilinx手册pg046

aurora_8b10b_0 aurora_8b10b_0_u0 (
  .s_axi_tx_tdata               (s_axi_tx_tdata       ),
  .s_axi_tx_tkeep               (s_axi_tx_tkeep       ),
  .s_axi_tx_tlast               (s_axi_tx_tlast       ),
  .s_axi_tx_tvalid              (s_axi_tx_tvalid      ),
  .s_axi_tx_tready              (s_axi_tx_tready      ),
  .m_axi_rx_tdata               (m_axi_rx_tdata       ),
  .m_axi_rx_tkeep               (m_axi_rx_tkeep       ),
  .m_axi_rx_tlast               (m_axi_rx_tlast       ),
  .m_axi_rx_tvalid              (m_axi_rx_tvalid      ),
  .hard_err                     (o_hard_err           ),
  .soft_err                     (o_soft_err           ),
  .frame_err                    (o_frame_err          ),
  .channel_up                   (o_channel_up         ),
  .lane_up                      (o_lane_up            ),
  .txp                          (gt_txp               ),
  .txn                          (gt_txn               ),
  .reset                        (w_system_rst         ),
  .gt_reset                     (w_gt_reset           ),
  .loopback                     (i_loopback           ),
  .rxp                          (gt_rxp               ),
  .rxn                          (gt_rxn               ),
  .drpclk_in                    (i_clk_100M           ),
  .drpaddr_in                   (0                    ),
  .drpen_in                     (0                    ),
  .drpdi_in                     (0                    ),
  .drprdy_out                   (                     ),
  .drpdo_out                    (                     ),
  .drpwe_in                     (0                    ),
  .power_down                   (0                    ),
  .tx_lock                      (w_gt_clk_locked      ),
  .tx_resetdone_out             (),
  .rx_resetdone_out             (),
  .link_reset_out               (),

  .gt0_qplllock_in              (gt0_qplllock_in        ),
  .gt0_qpllrefclklost_in        (gt0_qpllrefclklost_in  ),
  .gt0_qpllreset_out            (gt0_qpllreset_out      ),
  .gt_qpllclk_quad3_in          (gt_qpllclk_quad3_in    ),
  .gt_qpllrefclk_quad3_in       (gt_qpllrefclk_quad3_in ),

  .init_clk_in                  (i_clk_100M             ),
  .pll_not_locked               (w_pll_not_locked       ),
  .tx_out_clk                   (w_tx_out_clk           ),
  .sys_reset_out                (w_sys_reset_out        ),
  .user_clk                     (w_user_clk             ),
  .sync_clk                     (w_sycn_clk             ),
  .gt_refclk1                   (i_gtref_clk            ) 
);

2.3、aurora_8b10b_0_CLOCK_MODULE

该模块主要作用是根据GT的TXOUTCLK(此处为tx_out_clk)产生w_user_clkw_sync_clkw_user_clk是提供给用户逻辑的,也就是TXUSRCLK2w_sync_clk 则是作为TXUSRCLK 。以下是手册当中的介绍,很清楚了已经(前提是要看GT的介绍):

user_clk和sync_clk是由tx_out_clk驱动的PLL或BUFG的输出。这些时钟生成在_clock_module文件中可用。user_clk作为txusrclk2输入信号传递给收发器。sync_clk作为txusrclk输入信号传递给收发器

此处对于该模块进行了简单的修改,将INIT_CLK的差分转单端的过程放到了外部顶层模块。(忘了在哪里看到的一句话,说过最好在顶层模块使用clock_wizard)

module aurora_8b10b_0_CLOCK_MODULE
(
    // INIT_CLK_P,
    // INIT_CLK_N,
    // INIT_CLK_O,
    GT_CLK,
    GT_CLK_LOCKED,
    USER_CLK,
    SYNC_CLK,
    PLL_NOT_LOCKED
);

//***********************************Port Declarations*******************************
    // input              INIT_CLK_P;
    // input              INIT_CLK_N;
    // output             INIT_CLK_O;
    input       GT_CLK;
    input       GT_CLK_LOCKED;
    output      USER_CLK;
    output      SYNC_CLK;
    output      PLL_NOT_LOCKED;
    //wire INIT_CLK_I;
    
//*********************************Main Body of Code**********************************


  // Input buffering
  //------------------------------------
    BUFG user_clk_buf_i
    (
        .I(GT_CLK),
        .O(USER_CLK)
    );

  assign SYNC_CLK = USER_CLK;  
  assign PLL_NOT_LOCKED  =   !GT_CLK_LOCKED;

  // Assign an IBUFDS to INIT_CLK
//   IBUFDS init_clk_ibufg_i
//   (
//    .I(INIT_CLK_P),
//    .IB(INIT_CLK_N),
//    .O(INIT_CLK_I)
//   );

  BUFG init_clk_buf_i
    (
        .I(INIT_CLK_I),
        .O(INIT_CLK_O)
    );


endmodule

2.4、aurora_8b10b_0_SUPPORT_RESET_LOGIC

该模块用于产生复位信号resetgt_reset。根据手册内容,我们可以看到,reset是针对于user_clk时钟域的,gt_reset是针对于init_clk时钟域的。那么代码内容就很好理解了,就是简单的跨时钟处理,将异步复位信号同步到相应的时钟域下。
具体的复位过程有兴趣可以看看手册里面的介绍,我们使用IP核的时候直接关注channel_up信号就可以了。
在这里插入图片描述

module aurora_8b10b_0_SUPPORT_RESET_LOGIC
(
    // User IO
    RESET,
    USER_CLK, 
    INIT_CLK_IN,
    GT_RESET_IN,
    SYSTEM_RESET,
    GT_RESET_OUT
);

`define DLY #1
//***********************************Port Declarations*******************************
    // User I/O
input              RESET;
input              USER_CLK;
input              INIT_CLK_IN;
input              GT_RESET_IN;
output             SYSTEM_RESET;
output             GT_RESET_OUT;

//**************************Internal Register Declarations****************************
(* ASYNC_REG = "true" *) (* shift_extract = "{no}" *) reg     [0:3]      debounce_gt_rst_r = 4'd0;
reg     [0:3]      reset_debounce_r = 4'd0;
reg                reset_debounce_r2 = 1'b1;
reg                gt_rst_r; 

//********************************Wire Declarations**********************************
wire    gt_rst_sync;      

wire               SYSTEM_RESET;

//*********************************Main Body of Code**********************************

//Reset sync from INIT_CLK to USER_CLK
      aurora_8b10b_0_cdc_sync_exdes
        #(
           .c_cdc_type      (1             ),   
           .c_flop_input    (1             ),  
           .c_reset_state   (0             ),  
           .c_single_bit    (1             ),  
           .c_vector_width  (2             ),  
           .c_mtbf_stages   (5              )  
         )gt_rst_r_cdc_sync 
         (
           .prmry_aclk      (INIT_CLK_IN         ),
           .prmry_rst_n     (1'b1                ),
           .prmry_in        (gt_rst_r            ),
           .prmry_vect_in   (2'd0                ),
           .scndry_aclk     (USER_CLK            ),
           .scndry_rst_n    (1'b1                ),
           .prmry_ack       (                    ),
           .scndry_out      (gt_rst_sync         ),
           .scndry_vect_out (                    ) 
          );


//_________________Debounce the Reset and PMA init signal___________________________
// Simple Debouncer for Reset button. The debouncer has an
// asynchronous reset tied to GT_RESET_IN. This is primarily for simulation, to ensure
// that unknown values are not driven into the reset line

    always @(posedge USER_CLK or posedge gt_rst_sync)
        if(gt_rst_sync)
            reset_debounce_r    <=  4'b1111;   
        else
            reset_debounce_r    <=  {RESET,reset_debounce_r[0:2]};

    always @ (posedge USER_CLK)
      reset_debounce_r2 <= &reset_debounce_r;

    assign SYSTEM_RESET = reset_debounce_r2;

    // Debounce the GT_RESET_IN signal using the INIT_CLK
    always @(posedge INIT_CLK_IN)
        debounce_gt_rst_r <=  {GT_RESET_IN,debounce_gt_rst_r[0:2]};

    always @(posedge INIT_CLK_IN)
        gt_rst_r        <=   &debounce_gt_rst_r;

    assign  GT_RESET_OUT    =   gt_rst_r;

endmodule

2.5、aurora8b10b_channel模块

该模块就是例化上述三个模块,讲一下关键接口给到上层。看一看接口信号即可:

module aurora8b10b_channel(
    input               i_clk_100M              ,
    input               i_rst                   ,
    input               i_gtref_clk             ,
    output              gt_txp                  ,
    output              gt_txn                  ,
    input               gt_rxp                  ,
    input               gt_rxn                  ,

    input  [31:0]       s_axi_tx_tdata          ,
    input  [3 :0]       s_axi_tx_tkeep          ,
    input               s_axi_tx_tlast          ,
    input               s_axi_tx_tvalid         ,
    output              s_axi_tx_tready         ,
    output [31:0]       m_axi_rx_tdata          ,
    output [3 :0]       m_axi_rx_tkeep          ,
    output              m_axi_rx_tlast          ,
    output              m_axi_rx_tvalid         ,

    output              o_hard_err              ,
    output              o_soft_err              ,
    output              o_frame_err             ,
    output              o_channel_up            ,
    output              o_lane_up               ,
    input  [2 :0]       i_loopback              ,

    output              o_user_clk              ,
    output              o_user_rst              ,

    input               gt0_qplllock_in         ,
    input               gt0_qpllrefclklost_in   ,
    output              gt0_qpllreset_out       ,
    input               gt_qpllclk_quad3_in     ,
    input               gt_qpllrefclk_quad3_in  
);

2.6、IBUFDS_GTE2模块

这已经是老朋友了,GT的外部输入参考时钟原语。

IBUFDS_GTE2 #(
    .CLKCM_CFG                  ("TRUE"                 ),
    .CLKRCV_TRST                ("TRUE"                 ),
    .CLKSWING_CFG               (2'b11                  )  
)                   
IBUFDS_GTE2_inst (                  
    .O                          (gt_ref_clk             ),         
    .ODIV2                      (                       ), 
    .CEB                        (0                      ),     
    .I                          (i_gtref_clk_p          ),         
    .IB                         (i_gtref_clk_n          )        
);

2.7、aurora_8b10b_0_gt_common_wrapper模块

一样是老朋友了,里面包含了QPLL原语GTXE2_COMMON。不过我们选择的线速率只有6.25Gbps,CPLL就可以处理了,QPLL其实压根没用到,走完整流程吧。这里的i_clk_100M时钟就是当时在配IP时候的INIT_CLK,我选择的是100M。

:将该模块放到这里是因为方便我们在aurora8b10b_module当中可以例化多个aurora8b10b_channel,老生常谈了,因为一个QUAD只有一个QPLL。同样注意一个问题,那就是gt_qpllreset_out信号选择一个aurora8b10b_channel的复位信号就行。

aurora_8b10b_0_gt_common_wrapper gt_common_support_u0
(
    .gt_qpllclk_quad3_i         (gt_qpllclk_quad3_in    ),
    .gt_qpllrefclk_quad3_i      (gt_qpllrefclk_quad3_in ),
    .gt0_gtrefclk0_common_in    (gt_ref_clk             ),
    .gt0_qplllock_out           (gt_qplllock_in         ),
    .gt0_qplllockdetclk_in      (i_clk_100M             ),
    .gt0_qpllrefclklost_out     (gt_qpllrefclklost_in   ),
    .gt0_qpllreset_in           (gt_qpllreset_out       )
);

2.8、aurora8b10b_module模块

在该模块当中我们可以例化多个aurora8b10b_channel模块,就和之前使用GT是一样一样的。以下为例化俩个通道的代码。

module aurora8b10b_module(
    input           i_gtref_clk_p               ,
    input           i_gtref_clk_n               ,
    input           i_clk_100M                  ,
    input           i_rst                       ,
    output [1 :0]   gt_txp                      ,
    output [1 :0]   gt_txn                      ,
    input  [1 :0]   gt_rxp                      ,
    input  [1 :0]   gt_rxn                      ,

    input  [31:0]   s_axi_c0_tx_tdata           ,
    input  [3 :0]   s_axi_c0_tx_tkeep           ,
    input           s_axi_c0_tx_tlast           ,
    input           s_axi_c0_tx_tvalid          ,
    output          s_axi_c0_tx_tready          ,
    output [31:0]   m_axi_c0_rx_tdata           ,
    output [3 :0]   m_axi_c0_rx_tkeep           ,
    output          m_axi_c0_rx_tlast           ,
    output          m_axi_c0_rx_tvalid          ,

    input  [31:0]   s_axi_c1_tx_tdata           ,
    input  [3 :0]   s_axi_c1_tx_tkeep           ,
    input           s_axi_c1_tx_tlast           ,
    input           s_axi_c1_tx_tvalid          ,
    output          s_axi_c1_tx_tready          ,
    output [31:0]   m_axi_c1_rx_tdata           ,
    output [3 :0]   m_axi_c1_rx_tkeep           ,
    output          m_axi_c1_rx_tlast           ,
    output          m_axi_c1_rx_tvalid          ,

    output          o_c0_hard_err               ,
    output          o_c0_soft_err               ,
    output          o_c0_frame_err              ,
    output          o_c0_channel_up             ,
    output          o_c0_lane_up                ,
    input  [2 :0]   i_c0_loopback               ,
    output          o_c1_hard_err               ,
    output          o_c1_soft_err               ,
    output          o_c1_frame_err              ,
    output          o_c1_channel_up             ,
    output          o_c1_lane_up                ,
    input  [2 :0]   i_c1_loopback               ,

    output          o_c0_user_clk               ,
    output          o_c0_user_rst               ,
    output          o_c1_user_clk               ,
    output          o_c1_user_rst               
);

wire                gt_ref_clk                  ;
wire                gt_qplllock_in              ;
wire                gt_qpllrefclklost_in        ;
wire                gt_qpllreset_out            ;
wire                gt_qpllclk_quad3_in         ;
wire                gt_qpllrefclk_quad3_in      ; 

IBUFDS_GTE2 #(
    .CLKCM_CFG                  ("TRUE"                 ),
    .CLKRCV_TRST                ("TRUE"                 ),
    .CLKSWING_CFG               (2'b11                  )  
)                   
IBUFDS_GTE2_inst (                  
    .O                          (gt_ref_clk             ),         
    .ODIV2                      (                       ), 
    .CEB                        (0                      ),     
    .I                          (i_gtref_clk_p          ),         
    .IB                         (i_gtref_clk_n          )        
);

aurora_8b10b_0_gt_common_wrapper gt_common_support_u0
(
    .gt_qpllclk_quad3_i         (gt_qpllclk_quad3_in    ),
    .gt_qpllrefclk_quad3_i      (gt_qpllrefclk_quad3_in ),
    .gt0_gtrefclk0_common_in    (gt_ref_clk             ),
    .gt0_qplllock_out           (gt_qplllock_in         ),
    .gt0_qplllockdetclk_in      (i_clk_100M             ),
    .gt0_qpllrefclklost_out     (gt_qpllrefclklost_in   ),
    .gt0_qpllreset_in           (gt_qpllreset_out       )
);


aurora8b10b_channel aurora_channel_u0(
    .i_clk_100M                 (i_clk_100M             ),
    .i_rst                      (i_rst                  ),
    .i_gtref_clk                (gt_ref_clk             ),         
    .gt_txp                     (gt_txp[0]              ),
    .gt_txn                     (gt_txn[0]              ),
    .gt_rxp                     (gt_rxp[0]              ),
    .gt_rxn                     (gt_rxn[0]              ),
    .s_axi_tx_tdata             (s_axi_c0_tx_tdata      ),
    .s_axi_tx_tkeep             (s_axi_c0_tx_tkeep      ),
    .s_axi_tx_tlast             (s_axi_c0_tx_tlast      ),
    .s_axi_tx_tvalid            (s_axi_c0_tx_tvalid     ),
    .s_axi_tx_tready            (s_axi_c0_tx_tready     ),
    .m_axi_rx_tdata             (m_axi_c0_rx_tdata      ),
    .m_axi_rx_tkeep             (m_axi_c0_rx_tkeep      ),
    .m_axi_rx_tlast             (m_axi_c0_rx_tlast      ),
    .m_axi_rx_tvalid            (m_axi_c0_rx_tvalid     ),
    
    .o_hard_err                 (o_c0_hard_err          ),
    .o_soft_err                 (o_c0_soft_err          ),
    .o_frame_err                (o_c0_frame_err         ),
    .o_channel_up               (o_c0_channel_up        ),
    .o_lane_up                  (o_c0_lane_up           ),
    .i_loopback                 (i_c0_loopback          ),

    .o_user_clk                 (o_c0_user_clk          ),
    .o_user_rst                 (o_c0_user_rst          ),
    
    .gt0_qplllock_in            (gt_qplllock_in         ),
    .gt0_qpllrefclklost_in      (gt_qpllrefclklost_in   ),
    .gt0_qpllreset_out          (gt_qpllreset_out       ),
    .gt_qpllclk_quad3_in        (gt_qpllclk_quad3_in    ),
    .gt_qpllrefclk_quad3_in     (gt_qpllrefclk_quad3_in )
);


aurora8b10b_channel aurora_channel_u1(
    .i_clk_100M                 (i_clk_100M             ),
    .i_rst                      (i_rst                  ),
    .i_gtref_clk                (gt_ref_clk             ),
    .gt_txp                     (gt_txp[1]              ),
    .gt_txn                     (gt_txn[1]              ),
    .gt_rxp                     (gt_rxp[1]              ),
    .gt_rxn                     (gt_rxn[1]              ),
    .s_axi_tx_tdata             (s_axi_c1_tx_tdata      ),
    .s_axi_tx_tkeep             (s_axi_c1_tx_tkeep      ),
    .s_axi_tx_tlast             (s_axi_c1_tx_tlast      ),
    .s_axi_tx_tvalid            (s_axi_c1_tx_tvalid     ),
    .s_axi_tx_tready            (s_axi_c1_tx_tready     ),
    .m_axi_rx_tdata             (m_axi_c1_rx_tdata      ),
    .m_axi_rx_tkeep             (m_axi_c1_rx_tkeep      ),
    .m_axi_rx_tlast             (m_axi_c1_rx_tlast      ),
    .m_axi_rx_tvalid            (m_axi_c1_rx_tvalid     ),
    
    .o_hard_err                 (o_c1_hard_err          ),
    .o_soft_err                 (o_c1_soft_err          ),
    .o_frame_err                (o_c1_frame_err         ),
    .o_channel_up               (o_c1_channel_up        ),
    .o_lane_up                  (o_c1_lane_up           ),
    .i_loopback                 (i_c1_loopback          ),

    .o_user_clk                 (o_c1_user_clk          ),
    .o_user_rst                 (o_c1_user_rst          ),
    
    .gt0_qplllock_in            (gt_qplllock_in         ),
    .gt0_qpllrefclklost_in      (gt_qpllrefclklost_in   ),
    .gt0_qpllreset_out          (                       ),
    .gt_qpllclk_quad3_in        (gt_qpllclk_quad3_in    ),
    .gt_qpllrefclk_quad3_in     (gt_qpllrefclk_quad3_in )
);

endmodule

总结

至此工作就已经准备差不多了,编写相应的用户数据产生模块,我们已经可以直接使用aurora了。下文进行介绍。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/519674.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

多路转接-epoll/Reactor(2)

epoll 上次说到了poll&#xff0c;它存在效率问题&#xff0c;因此出现了改进的poll----epoll。 目前epoll是公认的效率最高的多路转接的方案。 快速了解epoll接口 epoll_create&#xff1a; 这个参数其实已经被废弃了。 这个值只要大于0就可以了。 这是用来创建一个epoll模…

阿里云服务器资费:一年或1个月费用价格,2024年更新

阿里云服务器资费多少钱&#xff1f;一年或1个月费用价格&#xff1a;2核2G3M轻量服务器61元一年、ECS云服务器2核2G3M 99元一年&#xff0c;2核4G轻量165元一年&#xff0c;2核4G ECS 199元一年&#xff0c;阿里云服务器网aliyunfuwuqi.com整理如下&#xff1a; 1、ECS经济型e…

SpringBoot快速入门笔记(4)

文章目录 一、Vue框架1、前端环境准备2、简介3、快速开始4、事件绑定 二、Vue组件化开发1、NPM2、Vue Cli3、组件化开发4、SayHello自定义组件5、Movie自定义组件 一、Vue框架 1、前端环境准备 编码工具&#xff1a;VSCode 依赖管理&#xff1a;NPM 项目构建&#xff1a;VueCl…

Word·VBA文档合并

目录 1&#xff0c;复制法&#xff0c;不保留原文档格式2&#xff0c;复制法&#xff0c;保留原文档格式3&#xff0c;插入法&#xff0c;保留原文档格式 之前的文章《WordVBA实现邮件合并》虽然可以生成邮件合并文档结果&#xff0c;但是不能像《python实现word邮件合并》一样…

LeetCode-79. 单词搜索【数组 字符串 回溯 矩阵】

LeetCode-79. 单词搜索【数组 字符串 回溯 矩阵】 题目描述&#xff1a;解题思路一&#xff1a;回溯 回溯三部曲。这里比较关键的是给board做标记&#xff0c;防止之后搜索时重复访问。解题思路二&#xff1a;回溯算法 dfs,直接看代码,很容易理解。visited哈希&#xff0c;防止…

Android面试题之Listview篇

秋招在即&#xff0c;计蒙准备在国庆假期结束前整理一套Android初级面试题籍&#xff0c;希望对大家有所帮助 提示&#xff1a;以下是本篇文章正文内容 ListView 1.当 ListView 数据集改变后&#xff0c;如何更新 ListView 使用该 ListView 的 adapter 的 notifyDataSetChange…

记录一下前端定时器清除失效的问题

目录 一、问题引入 二、错误代码&#xff1a; 三、错误原因 四、修正的代码 附 vue提供的线上运行代码网址以便证实可用性 一、问题引入 按理说&#xff0c;打开定时器 xxx setInterval(()>{ },100)&#xff0c;之后只要 clearInterval(xxx) 就可以顺利关闭定时器…

【浅尝C++】继承机制=>虚基表/菱形虚继承/继承的概念、定义/基类与派生类对象赋值转换/派生类的默认成员函数等详解

&#x1f3e0;专栏介绍&#xff1a;浅尝C专栏是用于记录C语法基础、STL及内存剖析等。 &#x1f3af;每日格言&#xff1a;每日努力一点点&#xff0c;技术变化看得见。 文章目录 继承的概念及定义继承的概念继承的定义定义格式继承关系与访问限定符 基类和派生类对象赋值转换继…

如果你正在投简历,一定要试试这款AI工具!

今天给大家分享一款AI简历神器 - BitBitFly AI 简历助手&#xff0c;这个工具可以帮助大家快速、精准投简历&#xff0c;并且提供职位匹配度分析报告&#xff0c;提供专业优化简历建议提高简历和职位匹配度&#xff0c;轻松拿下offer。 如果你在找工作的时候遇到以下问题&…

主流验证码对比及选型

目录 一、什么是验证码二、验证码的作用三、验证码的类型四、验证码厂商1、 [腾讯云验证码](https://cloud.tencent.com/document/product/1110)1.1 验证方式1.2 费用 2、[阿里云验证码](https://www.aliyun.com/activity/security/wafcaptcha)2.1 验证方式2.2 费用 3、[顶象验…

计算机网络——35什么是网络安全

什么是网络安全 机密性&#xff1a;只有发送方和预订的接收方能否理解传输的报文内容 发送方加密报文接收方解密报文 认证&#xff1a;发送方和接收方需要确认对方的身份报文完整性&#xff1a;发送方、接收方需要确认的报文在传输的过程中或者事后没有被改变访问控制和服务的…

android11 SystemUI入門之KeyguardPatternView解析

view层级树为&#xff1a; 被包含在 keyguard_host_view.xml中 。 <?xml version"1.0" encoding"utf-8"?> <!-- This is the host view that generally contains two sub views: the widget viewand the security view. --> <com.andro…

麻了,别再为难软件测试员了

前言 有不少技术友在测试群里讨论&#xff0c;近期的面试越来越难了&#xff0c;要背的八股文越来越多了,考察得越来越细&#xff0c;越来越底层&#xff0c;明摆着就是想让我们徒手造航母嘛&#xff01;实在是太为难我们这些测试工程师了。 这不&#xff0c;为了帮大家节约时…

RAG知识分享

文章目录 1.为什么要做RAG1.1. 解决幻觉问题1.1.1 直接输入问题1.1.2. 问题 相关知识 2. 什么是RAG2.1. 基本概念2.2. 基本RAG方法2.2.1. 知识预处理2.2.2. 知识检索2.2.3. 答案生成 3. RAG 与 Long Context3.1. Long Context3.2. RAG 与Long Context3.3 RAG对比Long Context的…

(2024,超分辨率,膨胀卷积和低通滤波,SD)FouriScale:免训练高分辨率图像合成的频率视角

FouriScale: A Frequency Perspective on Training-Free High-Resolution Image Synthesis 公和众和号&#xff1a;EDPJ&#xff08;进 Q 交流群&#xff1a;922230617 或加 VX&#xff1a;CV_EDPJ 进 V 交流群&#xff09; 目录 0. 摘要 2. 相关工作 2.2 通过扩散模型进行…

【攻防世界】ics-05

php://filter 伪协议查看源码 preg_replace 函数漏洞 1.获取网页源代码。多点点界面&#xff0c;发现点云平台设备维护中心时&#xff0c;页面发生变化。 /?pageindex 输入什么显示什么&#xff0c;有回显。 用php://filter读取网页源代码 ?pagephp://filter/readconvert.…

PC版复古珠宝饰品网站模板 基于pbootcms的首饰类源码下载

PbootCMS复古珠宝饰品网站模板&#xff1a;PCWAP双端同步&#xff0c;数据即时共享&#xff0c;轻松打造专业饰品首饰平台 本模板基于PbootCMS内核开发&#xff0c;专为饰品首饰网站、复古珠宝饰品网站等企业量身定制。同时&#xff0c;其他行业同样适用&#xff0c;只需替换文…

【PostgreSQL】技术传承:使用Docker快速部署PostgreSQL数据库

前言 PostgreSQL的重要贡献者Simon Riggs因一起坠机事故不幸离世。Simon Riggs是英国著名的软件与服务领导者&#xff0c;也是PostgreSQL的主要开发者和贡献者。事故发生在英国当地时间3月26日13:41分&#xff0c;当时他驾驶的私人通用航空Cirrus SR22飞机在英国达克斯福德机场…

SpringBoot整合Netty整合WebSocket-带参认证

文章目录 一. VectorNettyApplication启动类配置二.WebSocketServerBoot初始化服务端Netty三. WebsocketServerChannelInitializer初始化服务端Netty读写处理器四.initParamHandler处理器-去参websocket识别五.MessageHandler核心业务处理类-采用工厂策略模式5.1 策略上下文 六…

Thinkphp5萤火商城B2C小程序源码

源码介绍 Thinkphp5萤火商城B2C小程序源码&#xff0c;是一款开源的电商系统&#xff0c;为中小企业提供最佳的新零售解决方案。采用稳定的MVC框架开发&#xff0c;执行效率、扩展性、稳定性值得信赖。 环境要求 Nginx/Apache/IIS PHP5.4 MySQL5.1 建议使用环境&#xff…
最新文章