64B/66B编码 自定义PHY层设计

一、前言

之前的一篇文章讲解了64B/66B的基本原理,本篇在基于64B/66B GT Transceiver的基础之上设计自定义PHY。基本框图如下。

二、GT Mdule

GT Module就按照4个GT CHannel共享一个GT COMMON进行设置,如下图。要将例子工程中的GT COMMON取出,使其控制多个通道。如果按照例子工程的架构,当例化多个通道时,工程会出错,原因就是一个GT QARD只有一个GT COMMON。

GT Channel包括一个时钟模块,通过IP核给出来的TXOUTCLK生成TXUSERCLK2、RXUSERCLK2等时钟。GT IP就是例化的一个64B/66B编码的7系列GT Transceiver/

 例子工程结构如下:

 正确的结构如下:

GT Module主体结构代码如下:

IBUFDS_GTE2 #(
    .CLKCM_CFG      ("TRUE"             ),
    .CLKRCV_TRST    ("TRUE"             ),
    .CLKSWING_CFG   (2'b11              ) 
)
IBUFDS_GTE2_inst (
    .O              (w_gtrefclk         ),
    .ODIV2          (                   ),
    .CEB            (0                  ),
    .I              (i_gtrefclk_p       ),
    .IB             (i_gtrefclk_n       ) 
   );

gtwizard_0_common #
(
    .WRAPPER_SIM_GTRESET_SPEEDUP        ("TRUE"                         ),
    .SIM_QPLLREFCLK_SEL                 (QPLLREFCLKSEL_IN               )
)
common0_i
(
    .QPLLREFCLKSEL_IN                   (QPLLREFCLKSEL_IN               ),
    .GTREFCLK0_IN                       (w_gtrefclk                     ),
    .GTREFCLK1_IN                       (0                              ),
    .QPLLLOCK_OUT                       (w_qplllock                     ),
    .QPLLLOCKDETCLK_IN                  (i_sysclk                       ),
    .QPLLOUTCLK_OUT                     (w_qplloutclk                   ),
    .QPLLOUTREFCLK_OUT                  (w_qplloutrefclk                ),
    .QPLLREFCLKLOST_OUT                 (w_qpllrefclklost               ),    
    .QPLLRESET_IN                       (w_qpllreset|w_common_rst       )

);

gtwizard_0_common_reset # 
(
    .STABLE_CLOCK_PERIOD                (50                             )    
)                       
common_reset_i                      
(                           
    .STABLE_CLOCK                       (i_sysclk                       ),   
    .SOFT_RESET                         (i_tx_rst                       ),   
    .COMMON_RESET                       (w_common_rst                   )    
);

GT_channel GT_channel_u0(
    .i_sysclk                           (i_sysclk                       ),
    .i_gtrefclk                         (w_gtrefclk                     ),
    .i_rx_rst                           (i_rx_rst                       ),
    .i_tx_rst                           (i_tx_rst                       ),
    .o_tx_done                          (o_tx_done                      ),
    .o_rx_done                          (o_rx_done                      ),
    .i_tx_polarity                      (i_tx_polarity                  ),
    .i_tx_diffctrl                      (i_tx_diffctrl                  ),
    .i_txpostcursor                     (i_txpostcursor                 ),
    .i_txpercursor                      (i_txpercursor                  ),     
    .i_rx_polarity                      (i_rx_polarity                  ),
    .i_loopback                         (i_loopback                     ),
    .i_drpaddr                          (i_drpaddr                      ), 
    .i_drpclk                           (i_drpclk                       ),
    .i_drpdi                            (i_drpdi                        ), 
    .o_drpdo                            (o_drpdo                        ), 
    .i_drpen                            (i_drpen                        ),
    .o_drprdy                           (o_drprdy                       ), 
    .i_drpwe                            (i_drpwe                        ),
    .i_qplllock                         (w_qplllock                     ), 
    .i_qpllrefclklost                   (w_qpllrefclklost               ), 
    .o_qpllreset                        (w_qpllreset                    ),
    .i_qplloutclk                       (w_qplloutclk                   ), 
    .i_qplloutrefclk                    (w_qplloutrefclk                ), 
    .i_data_valid                       (i_data_valid                   ),
    .o_rx_clk                           (o_rx_clk                       ),
    .o_rx_data                          (o_rx_data                      ),
    .o_rx_valid                         (o_rx_valid                     ),
    .o_rx_header                        (o_rx_header                    ),
    .o_rx_header_valid                  (o_rx_header_valid              ),
    .i_rx_slipbit                       (i_rx_slipbit                   ),

    .o_tx_clk                           (o_tx_clk                       ),
    .i_tx_data                          (i_tx_data                      ),
    .i_tx_header                        (i_tx_header                    ),
    .i_tx_sequence                      (i_tx_sequence                  ),      

    .o_gt_tx_p                          (o_gt_tx_p                      ),
    .o_gt_tx_n                          (o_gt_tx_n                      ),
    .i_gt_rx_p                          (i_gt_rx_p                      ),
    .i_gt_rx_n                          (i_gt_rx_n                      )
);

GT_channel GT_channel_u1(
    .i_sysclk                           (i_sysclk                       ),
    .i_gtrefclk                         (w_gtrefclk                     ),
    .i_rx_rst                           (i_rx_rst_2                     ),
    .i_tx_rst                           (i_tx_rst_2                     ),
    .o_tx_done                          (o_tx_done_2                    ),
    .o_rx_done                          (o_rx_done_2                    ),
    .i_tx_polarity                      (i_tx_polarity_2                ),
    .i_tx_diffctrl                      (i_tx_diffctrl_2                ),
    .i_txpostcursor                     (i_txpostcursor_2               ),
    .i_txpercursor                      (i_txpercursor_2                ),     
    .i_rx_polarity                      (i_rx_polarity_2                ),
    .i_loopback                         (i_loopback_2                   ),
    .i_drpaddr                          (i_drpaddr_2                    ), 
    .i_drpclk                           (i_drpclk_2                     ),
    .i_drpdi                            (i_drpdi_2                      ), 
    .o_drpdo                            (o_drpdo_2                      ), 
    .i_drpen                            (i_drpen_2                      ),
    .o_drprdy                           (o_drprdy_2                     ), 
    .i_drpwe                            (i_drpwe_2                      ),
    .i_qplllock                         (w_qplllock                     ), 
    .i_qpllrefclklost                   (w_qpllrefclklost               ), 
    .o_qpllreset                        (                               ),
    .i_qplloutclk                       (w_qplloutclk                   ), 
    .i_qplloutrefclk                    (w_qplloutrefclk                ), 
    .i_data_valid                       (i_data_valid_2                 ),
    .o_rx_clk                           (o_rx_clk_2                     ),
    .o_rx_data                          (o_rx_data_2                    ),
    .o_rx_valid                         (o_rx_valid_2                   ),
    .o_rx_header                        (o_rx_header_2                  ),
    .o_rx_header_valid                  (o_rx_header_valid_2            ),
    .i_rx_slipbit                       (i_rx_slipbit_2                 ),
                
    .o_tx_clk                           (o_tx_clk_2                     ),
    .i_tx_data                          (i_tx_data_2                    ),
    .i_tx_header                        (i_tx_header_2                  ),
    .i_tx_sequence                      (i_tx_sequence_2                ),      
                
    .o_gt_tx_p                          (o_gt_tx_p_2                    ),
    .o_gt_tx_n                          (o_gt_tx_n_2                    ),
    .i_gt_rx_p                          (i_gt_rx_p_2                    ),
    .i_gt_rx_n                          (i_gt_rx_n_2                    )
);

三、PHY TX模块

PHY TX模块的主要功能就是封装帧以及大小端转换,以及暂停控制。

  • 由于64B/66B编码判定传输开始和传输结束是通过不同的数据帧进行的,所以就要对发送的数据进行封帧处理。
  • 在此过程中需要注意将数据由大端模式转换为小端的数据(GT IP核使用的是小端数据)。
  • 暂停控制:UG476上指出在使用外部计数器时,当Sequence Counter计数到32时,需要暂停以下,使Gearbox中积累的数据吐出去。

接下来具体说明变速箱GearBox的实现过程,以10GBase-R的物理层为例,若Serdes的位宽要求为32bit,PCS层采用64B/66B的编解码方式,在与Serdes进行数据传输过程中,需要GearBox实现66bit到32bit的转换、32bit到66bit的转换。

继续以上图为例子,XGMII层每拍输出32bit数据,每2拍组合成64bit报文,并且需要编码出2bit的同步头,为了简单化,假设待匹配的Serdes只有1个lane,且位宽为32bit,即GearBox输出是每拍32bit。

显然,输入带宽是大于输出带宽,属于带宽膨胀,因此输入必须暂停,什么时候停呢,见下图波形所示,每32拍停一拍,即待发送的buffer数据积攒够了32bit,此时,产生暂停输入标志位,也就是“反压”住输入信号,让GearBox可以完成数据完整输出。

(引自:详解GearBox设计原理 (qq.com))

基本思想如下:也就是要让Sequence Counter等于32和0的时候输出的数据不变,因为发送数据过程采用的时流水线拼接处理,所以要在Sequence Counter即将等于32的时候去截断数据流(处理过程挺复杂的)。

这个逻辑通过信号w_gt_send_valid 实现,达到30的时候拉低w_gt_send_valid ,这是因为要考虑组帧时候的FIFO读潜伏期。

assign          w_gt_send_valid = ro_tx_sequence == 30 ? 0 : 1  ;

另外一个情况需要特殊处理的就是在读第一个FIFO数据的时候 w_gt_send_valid =0的时刻到来,这时候需要特殊处理,因为再组帧的过程中第一帧是需要特殊处理的,如果处理不过会影响到后面的处理过程,时序图可以自己画以下。当检测w_gt_send_valid =0时需要使得读FIFO使能无效一个时钟周期(截流),并使得send_cnt在此时刻的数据保持两个时钟周期,需要使得输出保持。

总之最基本的思想就是:也就是要让Sequence Counter等于32和0的时候输出的数据不变

仿真图如下:

主要代码如下:

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        ro_tx_sequence <= 'd0;
    else if(ro_tx_sequence == 32)
        ro_tx_sequence <= 'd0;
    else 
        ro_tx_sequence <= ro_tx_sequence + 1;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_input_end <= 'd0;
    else if(s_axis_last)
        r_input_end <= 'd1;
    else if(s_axis_valid)
        r_input_end <= 'd0;
    else 
        r_input_end <= r_input_end;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_send_cnt <= 'd0;
    else if(r_input_end && r_send_cnt == r_len - 0)
        r_send_cnt <= 'd0;
    else if(r_invalid && r_send_cnt)
        r_send_cnt <= r_send_cnt;
    else if(r_invalid && r_fifo_rden_2d && !r_fifo_rden_3d)
        r_send_cnt <= r_send_cnt + 1;
    else if((!r_invalid && r_fifo_rden_1d && !r_fifo_rden_2d) || (r_send_cnt&& w_gt_send_valid))
        r_send_cnt <= r_send_cnt + 1;
    else 
        r_send_cnt <= r_send_cnt;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_fifo_dout_little <= 'd0;
    else if(r_fifo_rden)
        r_fifo_dout_little <= w_fifo_dout_little;
    else 
        r_fifo_dout_little <= r_fifo_dout_little;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_axis_keep <= 'd0;
    else if(s_axis_last)
        r_axis_keep <= s_axis_keep;
    else 
        r_axis_keep <= r_axis_keep;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        ro_tx_data <= 'd0;
    else if(r_send_cnt && r_input_end && ((r_axis_keep > 8'b1111_1100 && r_send_cnt == r_len - 0) 
            || (r_axis_keep <= 8'b1111_1100 && r_send_cnt == r_len - 1)))
        case(r_axis_keep)
            8'b1111_1111:ro_tx_data <= {7'h16,7'h16,7'h16,7'h16,7'h16,7'h16,6'd0,r_fifo_dout_little[63:56],8'h99};//灏剧鍙戦��1涓�
            8'b1111_1110:ro_tx_data <= {7'h16,7'h16,7'h16,7'h16,7'h16,7'h16,7'h16,7'h16,8'h8e};//灏剧鍙戦��8涓�
            8'b1111_1100:ro_tx_data <= {w_fifo_dout_little[47:0],r_fifo_dout_little[63:56],8'hFF};//灏剧鍙戦��7涓�
            8'b1111_1000:ro_tx_data <= {7'h16,1'd0,w_fifo_dout_little[39:0],r_fifo_dout_little[63:56],8'he8};//灏剧鍙戦��6涓�
            8'b1111_0000:ro_tx_data <= {7'h16,7'h16,2'd0,w_fifo_dout_little[31:0],r_fifo_dout_little[63:56],8'hD4};
            8'b1110_0000:ro_tx_data <= {7'h16,7'h16,7'h16,3'd0,w_fifo_dout_little[23:0],r_fifo_dout_little[63:56],8'hc3};
            8'b1100_0000:ro_tx_data <= {7'h16,7'h16,7'h16,7'h16,4'd0,w_fifo_dout_little[15:0],r_fifo_dout_little[63:56],8'hB2};
            8'b1000_0000:ro_tx_data <= {7'h16,7'h16,7'h16,7'h16,7'h16,5'd0,w_fifo_dout_little[7:0],r_fifo_dout_little[63:56],8'hA5};
        endcase
    else case(r_send_cnt)
        0       :ro_tx_data <= {w_fifo_dout_little[55:0],8'h71};
        default :ro_tx_data <= {w_fifo_dout_little[55:0],r_fifo_dout_little[63:56]};
    endcase
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        ro_tx_header <= 'd0;
    else if(r_send_cnt && r_input_end && ((r_axis_keep > 8'b1111_1100 && r_send_cnt == r_len - 0) 
            || (r_axis_keep <= 8'b1111_1100 && r_send_cnt == r_len - 1)))
        ro_tx_header <= 2'b10;
        
    else if(r_send_cnt == 0 && r_fifo_rden_2d && r_invalid)
        ro_tx_header <= 2'b10;
    else if(r_send_cnt == 0 && r_fifo_rden_1d)
        ro_tx_header <= 2'b10;
    else
        ro_tx_header <= 2'b01;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_fifo_rden <= 'd0;
    else if(w_fifo_empty || !w_gt_send_valid)   
        r_fifo_rden <= 'd0;
    else if(!w_fifo_empty)
        r_fifo_rden <= 'd1;
    else 
        r_fifo_rden <= r_fifo_rden;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_fifo_rden_1d <= 'd0;
    else 
        r_fifo_rden_1d <= r_fifo_rden;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_fifo_rden_2d <= 'd0;
    else 
        r_fifo_rden_2d <= r_fifo_rden_1d;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_fifo_rden_3d <= 'd0;
    else 
        r_fifo_rden_3d <= r_fifo_rden_2d;
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_fifo_empty <= 'd0;
    else 
        r_fifo_empty <= {r_fifo_empty[0],w_fifo_empty};
end

always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        rs_axis_ready <= 'd1;
    else if(s_axis_last)
        rs_axis_ready <= 'd0;
    else if(r_input_end && r_send_cnt == r_len - 0)
        rs_axis_ready <= 'd1;
    else 
        rs_axis_ready <= rs_axis_ready;
end


always@(posedge i_tx_clk,posedge i_tx_rst)
begin
    if(i_tx_rst)
        r_invalid <= 'd0;
    else if(r_invalid && r_send_cnt)
        r_invalid <= 'd0;
    else if(r_fifo_rden && !w_gt_send_valid && r_send_cnt == 0)
        r_invalid <= 'd1;
    else 
        r_invalid <= r_invalid;
end

四、PHY RX

1、PHY RX Bitslip模块

该模块的主要作用是实现字节对齐功能。

这个模块的主要思想如下:

  • 在64B/66B编码当中,只有2‘b10和2’b01代表有效的数据头,因此在字节对齐的过程中一直检测输入进来的数据头是否有效。
  • 当检测到错误数据头时,通过计数器进行计数加一进行记录,当间隔一定时钟周期之后便会检测这些计数器,如果计数器不为0,则发送滑动信号,使对齐窗口滑动1个bit。
  • 注意,间隔周期一定要大于32RXUSERCLK2(Xilinx文档 UG476指定的最小间隔周期为32个RXUSERCLK2)
  • 为了防止误判,可以设置只有当连续检测到64(如果出现误判可以再次翻倍)个正确的数据头部时,才会判定数据头已经对齐。
  • 在Xilinx 例子工程的Block_syn模块中,在对其之后也会一直检测是否有错误的数据头,并对计数器进行复位,而本模块没有对计数器进行复位。

本模块字节对齐过程:

可以看到当字节对齐之后,无效头计数器的数值应该都为0,只有有效数据头计数器在一直增加。

挡在同步过程中检测到一个无效的数据头(黄色数据线的地方)便会重新启动字节同步

例子工程的块对齐模块:

可以看到在对其之后,begin信号会一直对计数器进行复位。

2、PHY RX模块

PHY RX模块的主要功能就是解帧以及大小端转换、字节对齐。

  • 由于64B/66B编码判定传输开始和传输结束是通过不同的数据帧进行的,所以就要对接收到的数据帧进行解帧
  • 在此过程中需要注意将GT IP传输过来的阿小端的数据转换为我们经常使用的大端的接口数据。
  • 字节对齐,也就是在解帧的过程中要去除控制字符,并将接口的形式转换为AXI-Streaming接口的形式。在这里要特别注意EOF帧的在转换过程中Keep信号的处理。

在接收数据的时候需要注意,每隔32个时钟周期就有一个无效的数据发送过来,在设计的过程中需要考虑垓情况。尤其在刚接收到SOF帧后紧接着后面的无效数据,这种情况,要单独讨论,接收端的r_invalid便是用来处理此种情况。(这个需要后期在仔细思考)。

主要代码:

assign w_sof         = ri_rx_header_valid & ri_rx_header == 2'b10 & ri_rx_data[7 :0] == 8'h71 & ri_rx_valid;
assign w_eof         = ri_rx_header_valid & ri_rx_header == 2'b10 & 
                       (ri_rx_data[7 :0] == 8'h99 ||
                        ri_rx_data[7 :0] == 8'h8e ||
                        ri_rx_data[7 :0] == 8'hff ||
                        ri_rx_data[7 :0] == 8'he8 ||
                        ri_rx_data[7 :0] == 8'hd4 ||
                        ri_rx_data[7 :0] == 8'hc3 ||
                        ri_rx_data[7 :0] == 8'hb2 ||
                        ri_rx_data[7 :0] == 8'ha5 
                       ) 
                       & ri_rx_valid;
assign w_eof_s1     = i_rx_header_valid & i_rx_header == 2'b10 & 
                       (i_rx_data[7 :0] == 8'h99 ||
                        i_rx_data[7 :0] == 8'h8e ||
                        i_rx_data[7 :0] == 8'hff ||
                        i_rx_data[7 :0] == 8'he8 ||
                        i_rx_data[7 :0] == 8'hd4 ||
                        i_rx_data[7 :0] == 8'hc3 ||
                        i_rx_data[7 :0] == 8'hb2 ||
                        i_rx_data[7 :0] == 8'ha5 
                       ) 
                       & i_rx_valid;
assign w_eof_local = ri_rx_data[7 :0] == 8'h99 ? 1 : 
                     ri_rx_data[7 :0] == 8'h8e ? 8 :
                     ri_rx_data[7 :0] == 8'hff ? 7 :
                     ri_rx_data[7 :0] == 8'he8 ? 6 :
                     ri_rx_data[7 :0] == 8'hd4 ? 5 :
                     ri_rx_data[7 :0] == 8'hc3 ? 4 :
                     ri_rx_data[7 :0] == 8'hb2 ? 3 :
                     ri_rx_data[7 :0] == 8'ha5 ? 2 :
                     'd0;
assign w_eof_local_s1 = i_rx_data[7 :0] == 8'h99 ? 1 : 
                        i_rx_data[7 :0] == 8'h8e ? 8 :
                        i_rx_data[7 :0] == 8'hff ? 7 :
                        i_rx_data[7 :0] == 8'he8 ? 6 :
                        i_rx_data[7 :0] == 8'hd4 ? 5 :
                        i_rx_data[7 :0] == 8'hc3 ? 4 :
                        i_rx_data[7 :0] == 8'hb2 ? 3 :
                        i_rx_data[7 :0] == 8'ha5 ? 2 :
                     'd0;
always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst) begin
        ri_rx_data          <= 'd0;
        ri_rx_valid         <= 'd0;
        ri_rx_valid_1d      <= 'd0;
        ri_rx_header        <= 'd0;
        ri_rx_header_valid  <= 'd0;
        
    end else begin
        ri_rx_data          <= i_rx_data            ;
        ri_rx_valid         <= i_rx_valid           ;
        ri_rx_valid_1d      <= ri_rx_valid          ;
        ri_rx_header        <= i_rx_header          ;
        ri_rx_header_valid  <= i_rx_header_valid    ;
        
    end 
end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        ri_rx_data_1d       <= 'd0;
    else if(ri_rx_valid)
        ri_rx_data_1d       <= ri_rx_data           ;
    else 
        ri_rx_data_1d       <= ri_rx_data_1d        ;
end
always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst) begin
        r_sof <= 'd0;
        r_eof <= 'd0;
        r_eof_local <= 'd0;
    end else begin
        r_sof <= w_sof;
        r_eof <= w_eof;
        r_eof_local <= w_eof_local;
    end
end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        r_receiving <= 'd0;
    else if(r_eof)
        r_receiving <= 'd0;
    else if(w_sof)
        r_receiving <= 'd1;
    else 
        r_receiving <= r_receiving;
end

// always@(posedge i_rx_clk,posedge i_rx_rst)
// begin
//     if(i_rx_rst)
//         rm_axis_data <= 'd0;
//     else if(r_receiving)
//         s
//     else 
//         rm_axis_data <= rm_axis_data;
// end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        rm_axis_data <= 'd0;
    else if(r_eof && r_eof_local < 8)
        rm_axis_data <= {ri_rx_data_1d[63:16]};
    else if(w_eof && w_eof_local < 8)
        rm_axis_data <= {ri_rx_data[15:8],ri_rx_data_1d[63:8]};
    else if(w_eof && (w_eof_local == 8 || w_eof_local == 1))
        rm_axis_data <= {ri_rx_data[7 :0],ri_rx_data_1d[63:8]};
    else if(r_receiving && ri_rx_valid)
        rm_axis_data <= {ri_rx_data[7 :0],ri_rx_data_1d[63:8]};
    else 
        rm_axis_data <= 'd0;
end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        rm_axis_keep <= 8'b1111_1111;
    else if(r_eof && (r_eof_local >1 && r_eof_local < 8))
        case(r_eof_local)
            1           :rm_axis_keep <= 8'b1111_1111;
            2           :rm_axis_keep <= 8'b1000_0000;
            3           :rm_axis_keep <= 8'b1100_0000;
            4           :rm_axis_keep <= 8'b1110_0000;
            5           :rm_axis_keep <= 8'b1111_0000;
            6           :rm_axis_keep <= 8'b1111_1000;
            7           :rm_axis_keep <= 8'b1111_1100;
            8           :rm_axis_keep <= 8'b1111_1110;
            default     :rm_axis_keep <= 8'b1111_1111;
        endcase
    else if(w_eof && (w_eof_local == 8 || w_eof_local == 1))
        case(w_eof_local)
            1           :rm_axis_keep <= 8'b1111_1111;
            2           :rm_axis_keep <= 8'b1000_0000;
            3           :rm_axis_keep <= 8'b1100_0000;
            4           :rm_axis_keep <= 8'b1110_0000;
            5           :rm_axis_keep <= 8'b1111_0000;
            6           :rm_axis_keep <= 8'b1111_1000;
            7           :rm_axis_keep <= 8'b1111_1100;
            8           :rm_axis_keep <= 8'b1111_1110;
            default     :rm_axis_keep <= 8'b1111_1111;
        endcase
    // else if(w_eof_s1 && w_eof_local_s1 == 8)   
    //         rm_axis_keep <= 8'b1111_1111;
    else 
        rm_axis_keep <= 8'b1111_1111;
end


always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        rm_axis_last <= 'd0;
    else if(rm_axis_last && rm_axis_valid)
        rm_axis_last <= 'd0;
    else if(rm_axis_valid && r_eof && (r_eof_local >1 && r_eof_local < 8))
        rm_axis_last <= 'd1;
    else if(rm_axis_valid && w_eof && (w_eof_local == 8 || w_eof_local == 1))
        rm_axis_last <= 'd1;
    else 
        rm_axis_last <= rm_axis_last;
end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        rm_axis_valid <= 'd0;
    else if(r_sof)
        rm_axis_valid <= 'd1;
    else if(rm_axis_last && rm_axis_valid)
        rm_axis_valid <= 'd0;
    else if((!ri_rx_valid && ri_rx_header != 2'b10) || r_invalid)
        rm_axis_valid <= 'd0;
    else if(r_revalid)
        rm_axis_valid <= 'd1;
    else 
        rm_axis_valid <= rm_axis_valid;
end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        r_revalid <= 'd0;
    else if(r_invalid)
        r_revalid <= 'd1;
    else if(!rm_axis_last && rm_axis_valid && !ri_rx_valid && ri_rx_valid_1d)
        r_revalid <= 'd1;
    else 
        r_revalid <= 'd0;
end

always@(posedge i_rx_clk,posedge i_rx_rst)
begin
    if(i_rx_rst)
        r_invalid <= 'd0;
    else if(r_sof & !ri_rx_valid)
        r_invalid <= 'd1;
    else 
        r_invalid <= 'd0;
end

 五、上板测试

上板测试如下,GT 放置两个通道,两个通道之间互相发送和接收数据。

六、总结 

商用的PHY芯片肯定比这些要复杂的多,我们在这边只要了解64B/66B编码的原因,以及PHY的原理和处理过程、思想就好了。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/557649.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

3.4 海思SS928开发 - 烧写工具 - BurnTool Emmc 烧写

3.4 烧写工具 - BurnTool Emmc 烧写 BurnTool 工具提供了多种烧写方式&#xff0c;这里只介绍最常用的 烧写emmc方式。 环境准备 PC 与单板之间连接好调试串口以及网线。 将厂商提供的出厂镜像拷贝至 PC 硬盘上&#xff0c;解压后得到的文件如下&#xff1a; . ├── boot_…

解决Ubuntu安装NVIDIA显卡驱动导致的黑屏问题

前言 本文是在经历了3天内5次重装Ubuntu系统后写下的&#xff0c;根本原因就是这篇文章的主题——安装NVIDIA显卡驱动&#xff01;写下本文是为了让自己今后不再出同样类型的错误&#xff0c;同时&#xff0c;给其他出现同样问题的人一些启发&#xff01; 本文实例的电脑配置如…

WEB前端-笔记(二)

一、事件 1.1类型 focus 获取焦点事件 ipt.addEventListener("focus", () > {.log("") }) blue 失去焦点事件 ipt.addEventListener("blur", () > {console.log("") }) inout 文本输入事件 txt.addEventListener("i…

实在智能协办2024中国核能行业RPA数字员工专项培训会

2024年中国核能行业RPA数字员工专项培训会于4月16日-19日在杭州举办&#xff0c;由中国核能行业协会信息化专业委员会主办、实在智能承办。本次培训由理论讲解、技术深化和实际操作三部分组成&#xff0c;旨在帮助核能行业从业人员学习与掌握基于大模型的RPA技术应用&#xff0…

NVIDIA NCCL 源码学习(十四)- NVLink SHARP

背景 上节我们介绍了IB SHARP的工作原理&#xff0c;进一步的&#xff0c;英伟达在Hopper架构机器中引入了第三代NVSwitch&#xff0c;就像机间IB SHARP一样&#xff0c;机内可以通过NVSwitch执行NVLink SHARP&#xff0c;简称nvls&#xff0c;这节我们会介绍下NVLink SHARP如…

使用 Meta Llama 3 构建人工智能的未来

使用 Meta Llama 3 构建人工智能的未来 现在提供 8B 和 70B 预训练和指令调整版本,以支持广泛的应用 使用 Meta AI 体验 Llama 3 我们已将 Llama 3 集成到我们的智能助手 Meta AI 中,它扩展了人们完成工作、创造和与 Meta AI 联系的方式。通过使用 Meta AI 进行编码任务和解…

从零到一品牌电商私域流量代运营规划方案

【干货资料持续更新&#xff0c;以防走丢】 从零到一品牌电商私域流量代运营规划方案 部分资料预览 资料部分是网络整理&#xff0c;仅供学习参考。 PPT共50页&#xff08;完整资料包含以下内容&#xff09; 目录 私域运营方案&#xff1a; 一、项目背景与目标 - 开创数智化…

华为路由器基于接口限速

一、背景 ISP与企业内网通过华为路由器接入Internet时,当大量流量进入路由器时,可能会因为带宽不足产生拥塞,导致丢包,严重影响用户上网体验。对于此需要对网络流量进行限制,其方式通常有防火墙带宽策略、路由器基于接口限速等。 二、华为路由器基于接口限速方式 在路由…

Docker 部署 MongoDB 数据库

文章目录 官网地址docker 网络mongod.conf部署 MongoDB部署 mongo-expressdocker-compose.ymlMongoDB shell 官网地址 https://www.mongodb.com/zh-cn docker 网络 # 创建 mongo_network 网络 docker network create mongo_network # 查看网络 docker network list # 容器连…

RT-Thread在Win10下编译出现 unsupported pickle protocol: 5解决方案

调试背景&#xff1a; 在WIN10下编译RT-Thread源码&#xff1a;对象处理器平台是Microchip SAMA5D27-SOM1-EK评估板。 unsupported pickle protocol: 5 编译出现报错:ValueError : unsupported pickle protocol: 5 $ scons scons: Reading SConscript files ... Newlib ver…

MySQL:执行一条查询语句期间发生了什么?

MySQL的架构分为两层&#xff0c;Server 层和存储引擎层 server层负责建立连接、分析和执行SQL&#xff0c;MySQL&#xff0c;MySQL大多数的核心功能模块都在在这里实现&#xff0c;下图上半部分都是server层做的事情&#xff0c;另外&#xff0c;所有的内置函数&#xff08;如…

在mini2440上编写linux应用程序、字符设备驱动程序的编写与编译

在mini2440上编写linux应用程序 结合前两篇的学习&#xff0c;一个linux操作系统已经在mini2440上运行起来了&#xff0c;结合交叉编译环境和nfs等工具&#xff0c;我们可以在mini2440上编写任何我们在linux系统编程中学到的应用程序。一个简要的多文件Makefile文件如下&#…

设计模式——2_9 模版方法(Template Method)

人们往往把任性也叫做自由&#xff0c;但是任性只是非理性的自由&#xff0c;人性的选择和自决都不是出于意志的理性&#xff0c;而是出于偶然的动机以及这种动机对感性外在世界的依赖 ——黑格尔 文章目录 定义图纸一个例子&#xff1a;从文件中获取信息分几步&#xff1f;Rea…

基于Spingboot+vue协同过滤音乐推荐管理系统

项目演示视频效果&#xff1a; 基于Spingbootvue协同过滤音乐推荐管理系统 基于Spingbootvue协同过滤音乐推荐管理系统 1、项目介绍 基于Springboot的音乐播放管理系统总共两个角色&#xff0c;用户和管理员。用户使用前端前台界面&#xff0c;管理员使用前端后台界面。 有推荐…

Golang内存、指针逃逸、垃圾回收机制概览

最近看到了一篇文章是关于go的内存、指针逃逸和垃圾回收机制的&#xff0c;发现自己并未很细致的了解过这方面的内容&#xff0c;于是在翻阅各种文章的情况下&#xff0c;写出了这篇总结&#xff0c;参考文章放在文末&#xff0c;可自取 内存 Go 语言使用一个自带的垃圾收集器…

【S32K3 入门系列】- ADC 模块简介(上)

一、 前言 对于 S32K3 系列的初学者来说&#xff0c;S32K3 系列的参考手册阅读难度是让人望而却步的&#xff0c;本系列将对 S32K3 系列的外设进行逐一介绍&#xff0c;对参考手册一些要点进行解析。本文旨在介绍 S32K3 系列的 ADC 模块&#xff0c; ADC&#xff08;Analog to…

node端导出excel-用请求排队来限流

需求 有一个会执行luckySheet脚本并且导出excel的node接口&#xff0c;会在每天凌晨执行&#xff0c;但是文件过大时会内存溢出 之前有用worker来实现多线程&#xff08;主要是避免变量污染&#xff09;&#xff0c;但这样只能保证主线程不卡死&#xff0c;几个子线程合起来占用…

MDC搭配ttl使用!!!

一、简介 MDC 介绍​ MDC&#xff08;Mapped Diagnostic Context&#xff0c;映射调试上下文&#xff09;是 log4j 和 logback 提供的一种方便在多线程条件下记录日志的功能。MDC 可以看成是一个与当前线程绑定的Map&#xff0c;可以往其中添加键值对。MDC 中包含的内容可以被…

使用yolov8 进行实例分割训练

1、基于windows 的ISAM标注 直接下载安装包&#xff0c;解压后即可使用 链接&#xff1a;https://pan.baidu.com/s/1u_6jk-7sj4CUK1DC0fDEXQ 提取码&#xff1a;c780 2、标注结果转yolo格式 通过ISAM标注后的json文件路径 原始json格式如下&#xff1a; ISAM.json 转 yolo.…

牛客2024 【牛客赛文X】春招冲刺 ONT34 加油站【中等 贪心 C++、Java、Go、PHP】

题目 题目链接&#xff1a; https://www.nowcoder.com/practice/a013a0691a0343aeb262ca1450d2fe4e 思路 贪心&#xff1a; 如果总的gas小于走完全程的cost&#xff0c;直接返回-1不需要再找了 如果确保了可以走完一圈之后&#xff0c;那么从index 0开始找&#xff0c; 当g…
最新文章