基于STM32的DAC简易信号发生器设计(HAL库)

前言:本文为手把手教学制造 DAC 简易信号发生器的教程,本教程的 MCU 使用 STM32F103ZET6 。以 HAL 库的 DAC 函数作为代码基础进行编程,使得信号发生器可以产生各种类型的信号波,包括:方波、三角波、正弦波和噪声波,该博客提供了各样的 API 函数供读者朋友直接使用,函数可以使得 STM32 的 DAC 输出规定频率的各类波形(频率范围有一定局限性)。DAC 与信号发生器都是嵌入式工作中十分常见的,希望这篇博文能给读者朋友的工程项目给予些许帮助。(代码开源!

实验硬件:STM32F103ZET6;手持小型示波器

项目实物:

项目效果图:

引脚连接:

STM32 与 示波器 引脚:

STM32.PA4->示波器

一、DAC概述

DAC(Digital-to-Analog Converter),即数字模拟转换器,是将数字信号转换为模拟信号的电路。DAC 的主要组成部分是数字信号处理模块、数字模数转换模块、数字信号输出模块和模拟信号处理模块。其中,数字信号处理模块负责对输入的数字信号进行处理,如滤波、放大、数字信号处理算法等,数字模数转换模块将数字信号转换为模拟信号,数字信号输出模块将数字信号输出到数字模数转换模块,模拟信号处理模块负责对输出的模拟信号进行滤波、放大等处理。

STM32 的 DAC 模块(数字/模拟转换模块)是12位数字输入,电压输出型的 DAC(0~3.3V)。DAC 可以配置为 8 位或 12 位模式,也可以与 DMA 控制器配合使用。DAC 工作在 12 位模式时,数据可以设置成左对齐或右对齐。DAC 模块有 2 个输出通道,每个通道都有单独的转换器。在双 DAC 模式下,2 个通道可以独立地进行转换,也可以同时进行转换并同步地更新 2 个通道的输出。DAC 可以通过引脚输入参考电压 VREF+ 以获得更精确的转换结果。

STM32 的 DAC 模块特点有:

(1) 2 个 DAC 转换器:每个转换器对应 1 个输出通道

(2) 8 位或者 12 位单调输出

(3) 12 位模式下数据左对齐或者右对齐

(4) 同步更新功能

(5) 噪声波形生成

(6) 三角波形生成

(7) 双 DAC 通道同时或者分别转换

(8) 每个通道都有 DMA 功能

二、简易信号发生器

简易信号发生器是一种基本的电子测试设备,用于生成各种电信号,通常用于电子工程、通信系统测试以及教育等领域。它能够产生正弦波、方波、三角波等不同类型的波形,以及不同频率和幅度的信号。

信号发生器通常具有以下特点:

  1. 紧凑的设计:简易信号发生器体积小巧,便于携带和使用。
  2. 易于操作:设备上配有旋钮、开关等,用于调节输出信号的频率、幅度和其他参数。
  3. 多功能性:尽管是简易型,但许多信号发生器仍能提供多种波形输出,以满足不同测试需求。
  4. 经济实惠:相比于专业级的信号发生器,简易信号发生器价格更加亲民,适合预算有限的用户。

在教育领域,简易信号发生器常用于教授电子学基础知识,帮助学生更好地理解信号处理和电路工作原理。在业余电子爱好者和电子工程技术人员中,它也是一个实用的工具,用于电路调试和性能测试。 

三、CubeMX配置

1、RCC配置外部高速晶振(精度更高)——HSE;

2、SYS配置:Debug设置成Serial Wire(否则可能导致芯片自锁);

3、DAC配置:将DAC设置为TIM2触发事件更新;

4、TIM配置:将TIM2的时钟频率设置为2MHz,且触发事件更新;

5、时钟树配置:

6、工程配置:

四、各种类型DAC输出代码

STM32 的 DAC 不仅可以输出可调电压(0~3.3v),还可以输出各种类型的信号波。该博客提供的信号源包括:方波、正弦波、三角波以及噪声波。使用的函数拟合手段进行数据点拟合出需要的信号源,优点:可以自动计算出波形所需要的数据值;缺点:需要消耗CPU资源进行计算。

4.1 可调输出电压

int main(void)
{
  /* USER CODE BEGIN 1 */

  /* USER CODE END 1 */

  /* MCU Configuration--------------------------------------------------------*/

  /* Reset of all peripherals, Initializes the Flash interface and the Systick. */
  HAL_Init();

  /* USER CODE BEGIN Init */

  /* USER CODE END Init */

	
  /* Configure the system clock */
  SystemClock_Config();

  /* USER CODE BEGIN SysInit */

  /* USER CODE END SysInit */

  /* Initialize all configured peripherals */
  MX_GPIO_Init();
  MX_DAC_Init();
  MX_TIM2_Init();
  /* USER CODE BEGIN 2 */
  HAL_TIM_Base_Start(&htim2);         		/* 开启定时器2 */
  HAL_DAC_Start(&hdac, DAC_CHANNEL_1);
  /* USER CODE END 2 */

  /* Infinite loop */
  /* USER CODE BEGIN WHILE */
  while (1)
  {
    /* USER CODE END WHILE */

    /* USER CODE BEGIN 3 */
	HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, 2048);	//可调输出电压		
  }
  /* USER CODE END 3 */
}

DAC 输出的模拟电压(DAC 的数字范围0~4095,代码预设为2048):

Value = 2048/4095*3.3 = 1.65v

4.2 方波

方波是一种非正弦曲线的波形,通常会与电子和讯号处理时出现。理想方波只有“高”和“低”这两个值。电流或电压的波形为矩形的信号即为矩形波信号,高电平在一个波形周期内占有的时间比值称为占空比,也可理解为电路释放能量的有效释放时间与总释放时间的比值。占空比为50%的矩形波称之为方波,方波有低电平为零与为负之分。必要时,可加以说明“低电平为零”、“低电平为负”。

★本篇博客的频率方波的产生原理:

利用 Delay_us 函数用来制造出 us 级的延迟,通过 HAL_DAC_SetValue 函数周期性设置 DAC 的输出电压数值进行方波模拟。

/**
 * @brief       产生频率方波
 * @note        方波频率存在局限性!
 * @param       maxval : 最大值(0 < maxval < 4095)
 * @param       frequency: 方波的频率
 * @retval      无
 */
void Frequency_square_wave(uint16_t maxval, uint16_t frequency)
{
		HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, maxval);
		Delay_us(1000000/(2*frequency));
		HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, 0);
		Delay_us(1000000/(2*frequency));
}

/* 毫秒级延迟(系统版) */
void Delay_us(uint32_t udelay)
{
		uint32_t startval,tickn,delays,wait;
 
		startval = SysTick->VAL;
		tickn = HAL_GetTick();
		//sysc = 72000;  //SystemCoreClock / (1000U / uwTickFreq);
		delays =udelay * 72; //sysc / 1000 * udelay;
		if(delays > startval)
    {
      while(HAL_GetTick() == tickn)
        {
 
        }
      wait = 72000 + startval - delays;
      while(wait < SysTick->VAL)
        {
 
        }
    }
		else
    {
      wait = startval - delays;
      while(wait < SysTick->VAL && HAL_GetTick() == tickn)
        {
 
        }
    }
}

main函数: 

Frequency_square_wave(2048,600);						/* 频率方波 */		

代码预设的方波电压值应该为:1.66V左右,频率为:600Hz

手持小型示波器的输出:

4.3 正弦波

STM32 的 DAC 是没有直接输出正弦波的功能,所以只能模拟一个正弦波,并且进行输出。

本篇博客使用正点原子的正弦波产生函数进行数据拟合,以此来产生需要的正弦波 DAC 数值。

正弦波,也称为 Sin 波,是最基本也是最常见的波形之一。它是一种连续变化的波形,其特点是电压或电流随时间呈现出平滑的、周期性的正弦变化。正弦波在科学和工程中有广泛的应用。在交流电(AC)系统中,正弦波是电压和电流的主要波形。在信号处理和通信领域,正弦波因其简单的数学特性而被用作基础信号,用于分析和合成复杂的信号。此外,正弦波在声学、振动分析和许多其他物理现象的研究中也非常重要。

 y = 2048*sin((2\pi /samples)*x)+2048

使用上式可以模拟出一个正弦函数所需要的采样点数值,即 DAC 的数字量。

/**
 * @brief       产生正弦波序列函数
 * @note        需保证: maxval > samples/2
 * @param       maxval : 最大值(0 < maxval < 2048)
 * @param       samples: 采样点的个数
 * @retval      无
 */
void DAC_creat_sin_buf(uint16_t maxval, uint16_t samples, uint16_t time)
{
    uint8_t i;
    float outdata = 0;                     /* 存放计算后的数字量 */
    float inc = (2 * 3.1415962) / samples; /* 计算相邻两个点的x轴间隔 */

    if(maxval <= (samples / 2))return ;	   /* 数据不合法 */

    for (i = 0; i < samples; i++)
    {
        /* 
         * 正弦波函数解析式:y = Asin(ωx + φ)+ b
         * 计算每个点的y值,将峰值放大maxval倍,并将曲线向上偏移maxval到正数区域
         * 注意:DAC无法输出负电压,所以需要将曲线向上偏移一个峰值的量,让整个曲线都落在正数区域
			   * 由于sin函数消耗CPU时间较长,结合DAC频率与sin函数,每次触发DAC设置大概耗时0.00004s(可根据自己实际情况测试)
         */
        outdata = maxval * sin(inc * i) + maxval;
        if(outdata > 4095)
           outdata = 4095; /* 上限限定 */
				HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, outdata);
				Delay_us(time);
    }
}

/**
 * @brief       产生频率正弦波
 * @note        需保证: maxval > samples/2, 且产生的频率受限
 * @param       maxval : 最大值(0 < maxval < 2048)
 * @param       frequency: 正弦波的频率
 * @retval      无
 */
void Frequency_sin_wave(uint16_t maxval, uint16_t frequency)
{
		int time;
		time = 5000/frequency-43;
		DAC_creat_sin_buf(maxval,200,time);	
}

main函数: 

Frequency_sin_wave(2000,20);								/* 频率的正弦波 */

手持小型示波器的输出:

4.4 三角波

STM32 的 DAC 是可以直接输出一个三角波的,但是可操作性偏低,本篇博客使用函数拟合出频率三角波。

三角波(Triangle wave)是一种非正弦波,其波形类似于数学中的三角函数,但更具体地,它的形状类似于直角三角形的斜边。三角波的数学表达式可以通过积分正弦波或方波来得到,也可以通过线性组合傅里叶级数来构造。三角波在电子学、音乐合成和信号处理等领域有应用。例如,在模拟电子音乐合成器中,三角波是一种常用的波形,因为它可以产生丰富的谐波结构。

三角波的输入计算推导如下:

输出频率 = 1000/(dt * samp),即可 Frequency = 10000/dt。值得注意的是:当 dt 数值偏小时,譬如 dt 小于 5us 时,延迟函数本身精度将不准确,导致产生的三角波频率也会不准确,所以应该尽可能保证延迟时间的适当性!

/**
 * @brief       设置DAC_OUT1输出三角波
 * @note        输出频率 ≈ 1000 / (dt * samples) Khz, 不过在dt较小的时候,比如小于5us时, 由于delay_us
 *              本身就不准了(调用函数,计算等都需要时间,延时很小的时候,这些时间会影响到延时), 频率会偏小.
 * 
 * @param       maxval : 最大值(0 < maxval < 4096), (maxval + 1)必须大于等于samples/2
 * @param       dt     : 每个采样点的延时时间(单位: us)
 * @param       samples: 采样点的个数, samples必须小于等于(maxval + 1) * 2 , 且maxval不能等于0
 * @param       n      : 输出波形个数,0~65535
 *
 * @retval      无
 */
void DAC_triangular_wave(uint16_t maxval, uint16_t dt, uint16_t samples, uint16_t n)
{
    uint16_t i, j;
    float incval;                               /* 递增量 */
    float Curval;                               /* 当前值 */
    
    if(samples > ((maxval + 1) * 2))return ;    /* 数据不合法 */
        
    incval = (maxval + 1) / (samples / 2);      /* 计算递增量 */
    
    for(j = 0; j < n; j++)
    { 
        Curval = 0;
        HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, Curval);    /* 先输出0 */
        for(i = 0; i < (samples / 2); i++)      /* 输出上升沿 */
        {
            Curval  +=  incval;                 /* 新的输出值 */
            HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, Curval);
            Delay_us(dt);
        }
        for(i = 0; i < (samples / 2); i++)      /* 输出下降沿 */
        {
            Curval  -=  incval;                 /* 新的输出值 */
            HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, Curval);
            Delay_us(dt);
        }
    }
}

/**
 * @brief       产生频率三角波
 * @note        需保证: maxval > samples/2,且产生的频率受限
 * @param       maxval : 最大值(0 < maxval < 4095)
 * @param       frequency: 三角波频率
 * @retval      无
 */
void Frequency_triangular_wave(uint16_t maxval, uint16_t frequency)
{
		int time;
		time = 10000/frequency;
		DAC_triangular_wave(maxval, time, 100,1000);
}

main函数: 

Frequency_triangular_wave(2000,50);            /* 频率的三角波 */

手持小型示波器的输出:

4.5 噪声波

噪声波,通常简称为噪声,是指在信号传输、处理或生成过程中引入的不希望有的、无规律的波动。噪声可以源自各种不同的原因,包括电子设备的电子迁移、热噪声、电磁干扰、机械振动等。在信号处理和通信领域,噪声通常被视为不必要的信息,它会干扰有用信号的传输和解释。 

/**
 * @brief       产生噪声波
 * @param       maxval : 最大值(0 < maxval < 4095)
 * @retval      无
 */
void DAC_noise_wave(uint16_t maxval)
{
		int val = 0;								/* 噪声幅值 */
		val = rand()%maxval;				/* 随机制造噪声幅值 */
		HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, val);
}

main函数: 

DAC_noise_wave(2000);						/* 噪声波 */

手持小型示波器的输出:

4.6  完整代码

signal.h:

#ifndef __SIGNAL_H
#define __SIGNAL_H

#include "stm32f1xx.h"

/* Signal Generator */
void DAC_creat_sin_buf(uint16_t maxval, uint16_t samples, uint16_t time);
void DAC_triangular_wave(uint16_t maxval, uint16_t dt, uint16_t samples, uint16_t n);
void DAC_noise_wave(uint16_t maxval);
void Frequency_square_wave(uint16_t maxval, uint16_t frequency);
void Frequency_sin_wave(uint16_t maxval, uint16_t frequency);
void Frequency_triangular_wave(uint16_t maxval, uint16_t frequency);
void Delay_us(uint32_t udelay);

#endif

signal.c:

/********************************* (C) COPYRIGHT **********************************
* File Name						: signal.c
* Author						: 混分巨兽龙某某
* Version						: V1.0.0
* Data							: 2024/01/03
* Contact						: QQ:1178305328
* Description					: Signal generator function file
***********************************************************************************/
#include "signal.h"
#include "math.h"
#include "dac.h"
#include "stdlib.h"

/**
 * @brief       产生正弦波序列函数
 * @note        需保证: maxval > samples/2
 * @param       maxval : 最大值(0 < maxval < 2048)
 * @param       samples: 采样点的个数
 * @retval      无
 */
void DAC_creat_sin_buf(uint16_t maxval, uint16_t samples, uint16_t time)
{
    uint8_t i;
    float outdata = 0;                     /* 存放计算后的数字量 */
    float inc = (2 * 3.1415962) / samples; /* 计算相邻两个点的x轴间隔 */

    if(maxval <= (samples / 2))return ;	   /* 数据不合法 */

    for (i = 0; i < samples; i++)
    {
        /* 
         * 正弦波函数解析式:y = Asin(ωx + φ)+ b
         * 计算每个点的y值,将峰值放大maxval倍,并将曲线向上偏移maxval到正数区域
         * 注意:DAC无法输出负电压,所以需要将曲线向上偏移一个峰值的量,让整个曲线都落在正数区域
			   * 由于sin函数消耗CPU时间较长,结合DAC频率与sin函数,每次触发DAC设置大概耗时0.00004s(可根据自己实际情况测试)
         */
        outdata = maxval * sin(inc * i) + maxval;
        if(outdata > 4095)
           outdata = 4095; /* 上限限定 */
				HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, outdata);
				Delay_us(time);
    }
}

/**
 * @brief       设置DAC_OUT1输出三角波
 * @note        输出频率 ≈ 1000 / (dt * samples) Khz, 不过在dt较小的时候,比如小于5us时, 由于delay_us
 *              本身就不准了(调用函数,计算等都需要时间,延时很小的时候,这些时间会影响到延时), 频率会偏小.
 * 
 * @param       maxval : 最大值(0 < maxval < 4096), (maxval + 1)必须大于等于samples/2
 * @param       dt     : 每个采样点的延时时间(单位: us)
 * @param       samples: 采样点的个数, samples必须小于等于(maxval + 1) * 2 , 且maxval不能等于0
 * @param       n      : 输出波形个数,0~65535
 *
 * @retval      无
 */
void DAC_triangular_wave(uint16_t maxval, uint16_t dt, uint16_t samples, uint16_t n)
{
    uint16_t i, j;
    float incval;                               /* 递增量 */
    float Curval;                               /* 当前值 */
    
    if(samples > ((maxval + 1) * 2))return ;    /* 数据不合法 */
        
    incval = (maxval + 1) / (samples / 2);      /* 计算递增量 */
    
    for(j = 0; j < n; j++)
    { 
        Curval = 0;
        HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, Curval);    /* 先输出0 */
        for(i = 0; i < (samples / 2); i++)      /* 输出上升沿 */
        {
            Curval  +=  incval;                 /* 新的输出值 */
            HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, Curval);
            Delay_us(dt);
        }
        for(i = 0; i < (samples / 2); i++)      /* 输出下降沿 */
        {
            Curval  -=  incval;                 /* 新的输出值 */
            HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, Curval);
            Delay_us(dt);
        }
    }
}

/**
 * @brief       产生噪声波
 * @param       maxval : 最大值(0 < maxval < 4095)
 * @retval      无
 */
void DAC_noise_wave(uint16_t maxval)
{
		int val = 0;								/* 噪声幅值 */
		val = rand()%maxval;				/* 随机制造噪声幅值 */
		HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, val);
}

/**
 * @brief       产生频率方波
 * @note        方波频率受限
 * @param       maxval : 最大值(0 < maxval < 4095)
 * @param       frequency: 方波的频率
 * @retval      无
 */
void Frequency_square_wave(uint16_t maxval, uint16_t frequency)
{
		HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, maxval);
		Delay_us(1000000/(2*frequency));
		HAL_DAC_SetValue(&hdac, DAC_CHANNEL_1, DAC_ALIGN_12B_R, 0);
		Delay_us(1000000/(2*frequency));
}

/**
 * @brief       产生频率正弦波
 * @note        需保证: maxval > samples/2, 且产生的频率受限
 * @param       maxval : 最大值(0 < maxval < 2048)
 * @param       frequency: 正弦波的频率
 * @retval      无
 */
void Frequency_sin_wave(uint16_t maxval, uint16_t frequency)
{
		int time;
		time = 5000/frequency-43;
		DAC_creat_sin_buf(maxval,200,time);	
}

/**
 * @brief       产生频率三角波
 * @note        需保证: maxval > samples/2,且产生的频率受限
 * @param       maxval : 最大值(0 < maxval < 2048)
 * @param       frequency: 三角波频率
 * @retval      无
 */
void Frequency_triangular_wave(uint16_t maxval, uint16_t frequency)
{
		int time;
		time = 10000/frequency;
		DAC_triangular_wave(maxval, time, 100,1000);
}

/* 毫秒级延迟(系统版) */
void Delay_us(uint32_t udelay)
{
		uint32_t startval,tickn,delays,wait;
 
		startval = SysTick->VAL;
		tickn = HAL_GetTick();
		//sysc = 72000;  //SystemCoreClock / (1000U / uwTickFreq);
		delays =udelay * 72; //sysc / 1000 * udelay;
		if(delays > startval)
    {
      while(HAL_GetTick() == tickn)
        {
 
        }
      wait = 72000 + startval - delays;
      while(wait < SysTick->VAL)
        {
 
        }
    }
		else
    {
      wait = startval - delays;
      while(wait < SysTick->VAL && HAL_GetTick() == tickn)
        {
 
        }
    }
}

五、博客总结

本篇博客设计的简易信号发生器可以产生各种类型且频率指定的信号波,但受限于代码与硬件等因素,其产生信号的频率进度很一般,只能近似去使用。如果读者朋友需要使用精度很高的信号发生器,建议购买专门的硬件和芯片去设计。

本篇博客的各种类型DAC信号波使用的是数据拟合手段进行,该手段的优势是可以直接在源代码上改频率和幅值即可输出需要的信号波,缺点则是需要消耗CPU资源进行计算。如果,读者朋友有一些特殊情况,需要保证 CPU 的计算速率,可以直接使用 Matlab 去拟合好 DAC 信号波的数值,再使用数组中的数据实现信号波产生。

六、代码开源

代码地址: 基于STM32的DAC简易信号发生器设计代码资源-CSDN文库

如果积分不够的朋友,点波关注评论区留下邮箱,作者无偿提供源码和后续问题解答。求求啦关注一波吧 !!!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/570277.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

kafka部分partition的leader=-1修复方案整理

kafka部分partition的leader-1修复方案整理 1. 背景说明2. 修复测试2.1 创建正常的topic并验证生产和消费2.2 停止kafka模拟leader-12.3 修复parition2.4 修复完成验证生产消费是否恢复 3. 疑问和思考3.1 kafka在进行数据消费时&#xff0c;如果有partition的leader-1&#xff…

新火种AI|Devin再次震撼谷歌!但却是以被质疑造假的方式...

作者&#xff1a;小岩 编辑&#xff1a;彩云 我们常说有人的地方就有江湖&#xff0c;就会存在炒作&#xff0c;扒皮和虚伪。没想到&#xff0c;到了人工智能这里&#xff0c;也是一样。 4月9日&#xff0c;一位自称有35年软件工程师经验的网络博主卡尔逐帧复现了人工智能软…

09—DOM和BOM

一、DOM 1、HTML DOM (文档对象模型) 文档对象模型&#xff08;Document Object Model&#xff0c;DOM&#xff09;是表示和操作HTML和XML文档内容的基础API。当网页被加载时&#xff0c;浏览器会根据DOM模型&#xff0c;将结构化文档&#xff08;比如HTML和XML&#xff09;解…

2024年低碳技术与污染控制技术国际学术会议(ICLCTPCT 2024)

2024年低碳技术与污染控制技术国际学术会议(ICLCTPCT 2024) 2024 International Conference on Low carbon technology and pollution control technology 一、【会议简介】 2024年低碳技术与污染控制技术国际学术会议&#xff0c;是交流科研成果的绝佳平台。 这次会议将汇集世…

Python 高质量类编写指南

原文&#xff1a;https://www.youtube.com/watch?vlX9UQp2NwTk 代码&#xff1a;https://github.com/ArjanCodes/examples/tree/main/2023/classguide Python 高质量类编写指南 我们将通过一些方法增加类的可读性和易用性。 通过&#xff08;按照属性或行为&#xff09;拆分类…

大模型检索召回系统:RAG技术的全面调查与未来展望

随着人工智能技术的飞速发展&#xff0c;大型语言模型&#xff08;LLMs&#xff09;在自然语言处理&#xff08;NLP&#xff09;领域取得了显著成就。然而&#xff0c;这些模型在处理特定领域或知识密集型任务时仍面临挑战&#xff0c;如产生错误信息或“幻觉”。为了克服这些难…

docker-compose搭建redis环境:哨兵模式(一主两重两哨兵)

文章目录 0.BG1. 编写docker-compose.yml文件2. 哨兵配置文件sentinel.conf3.启动容器4.模拟故障转移 0.BG redis环境有多中模式&#xff0c;包括Standalone&#xff0c;Cluster和Sentinel模式等。这里介绍一种简单搭建Sentinel模式的方法&#xff0c;搭建一个一主两重两哨兵的…

做视频号小店一年半,内部玩法曝光,今日全盘托出

大家好&#xff0c;我是电商笨笨熊 腾讯推出电商的消息一出来&#xff0c;就成为了电商界的又一关注点&#xff1b; 不少人称腾讯做电商不会长久&#xff0c;也有人称视频号小店必将成为未来电商黑马&#xff1b; 无论是哪种说法&#xff0c;视频号小店我先替大家做了一年半…

进程状态和优先级(进程第2篇)【Linux复习篇】

目录 一、进程状态 1、进程有什么状态&#xff1f; 2、 Linux下的进程状态有什么&#xff1f; 二、进程优先级 1、进程优先级是什么&#xff1f; 2、为什么要有优先级 3、怎么改进程优先级&#xff1f;要改吗&#xff1f; 4、操作系统如何根据优先级开展调度的&#xff…

使用原型学习和特权信息进行可解释的医学图像分类

Interpretable Medical Image Classification Using Prototype Learning and Privileged Information 摘要 .可解释性通常是医学成像的基本要求。需要先进的深度学习方法来满足这种对可解释性和高性能的需求。 本文研究了训练过程中可用的其他信息是否可用于创建易于理解且强…

DS32K查看内置寄存器数值

需要在debug的时候进行查看&#xff0c;先暂停&#xff0c;再打开EmbSys Registers窗口。 需要先将导出的内容选中并双击&#xff0c;不然复制出来会变成问号。右上角有个复制按钮&#xff0c;复制到剪贴板就行。譬如我这里选择了MCR寄存器&#xff0c;复制出来的就是这个寄存器…

Redis入门到通关之Redis数据结构-List篇

文章目录 ☃️概述☃️数据结构☃️源码☃️其他 欢迎来到 请回答1024 的博客 &#x1f353;&#x1f353;&#x1f353;欢迎来到 请回答1024的博客 关于博主&#xff1a; 我是 请回答1024&#xff0c;一个追求数学与计算的边界、时间与空间的平衡&#xff0c;0与1的延伸的后端…

七分钟“手撕”三大特性<多态>

目录 一、学习多态之前需要的知识储备 二、重写 1.什么是重写 2.重写可以干嘛 3.怎么书写重写 4.重载与重写的区别 三、向上转型 1.什么是向上转型&#xff1f; 2.向上转型的语法 3.向上转型的使用场景 四、多态是什么 六、多态实现 七、多态的好处 八、多态的缺…

程序员过了35岁没人要?“这行越老越香”

程序员35岁失业&#xff1f;参加完OceanBase开发者大会&#xff0c;我又悟了&#xff01; 周六参加了OceanBase2024 开发者大会的现场&#xff0c;来之前我其实挺忐忑的&#xff0c;我觉得一个数据库产品的发布会&#xff0c;能有什么新鲜的东西&#xff1f; 踏入酒店的那一刻&…

经风靡全球的 PHP 为何逐渐失去优势?

TIOBE 编程语言人气指数发布更新&#xff0c;并提出“PHP 的魔力是否正在消散&#xff1f;”的灵魂拷问。今年 4 月&#xff0c;PHP 在 TIOBE 编程语言指数榜上仅位列第 17&#xff0c;“成为其有史以来的最低排位”。 暴露 PHP 人气急剧下滑的还不只是 TIOBE 榜单。在年度 Sta…

MP4转gif如何操作?一个常见方法分享

MP4是一种视频格式&#xff0c;而gif则是图片格式。当我们需要将MP4格式的时候转成gif格式图片的时候要怎么操作呢&#xff1f;怎样在不下载软件的情况下在线转换格式呢&#xff1f;很简单&#xff0c;通过使用gif图片制作&#xff08;https://www.gif.cn/&#xff09;工具-GIF…

Android开发者必备:RootEncoder引领实时流媒体传输革新

Android开发者必备&#xff1a;RootEncoder引领实时流媒体传输革新 I. 引言 A. RootEncoder简介 RootEncoder for Android&#xff08;rtmp-rtsp-stream-client-java&#xff09;是一个功能强大的流编码器&#xff0c;旨在通过多种协议&#xff08;包括RTMP、RTSP、SRT和UDP…

VR全景创业项目应该如何开展?未来有市场吗?

伴随着5G网络的发展&#xff0c;VR全景得到了众多的关注和提升。与此同时&#xff0c;各行各业都开始关注自身产业在互联网的展示效果&#xff0c;因为年轻一代的生活已经离不开互联网&#xff0c;而VR全景在互联网上的3D展示效果能给商家带来流量&#xff0c;提升营业额。 随着…

ERROR: Permission denied (publickey,gssapi-keyex,gssapi-with-mic,password).

今天本来想在A服务器上传文件给B服务器的结果发现明明给root用户设置了密码就是远程登陆不了&#xff0c;后来才发现在容器中很多服务都是没有的&#xff0c;所以刚安装后忘记了修改配置文件&#xff0c;导致远程登陆失败。 报错&#xff1a; 解决方法&#xff1a; 在/etc/ssh…

【电控实物-infantry】

云台电机参数 电机内部参数 相电阻:Rs1.8欧 相电感:Ls5.7810^-3H 转矩常数:Kt 0.741 NM/A 转动惯量:J KG-m^2 电机接收数据&#xff1a;-16384到16384&#xff08;-3A到3A&#xff09; 电机反馈&#xff1a;速度RPM rad/s &#xff08;2πrpm&#xff09;/60 C板陀螺仪&…
最新文章