FPGA学习笔记(2)——Verilog语法及ModelSim使用

1.1 语法

1、赋值语句 = 和 <=
= 为阻塞赋值,当该语句结束时,下一个语句才开始执行,串行执行
<= 为非阻塞幅值,该语句和整个语句块同时执行,并行执行

1.2 ModelSim使用

1、修改源文件路径:File -> Source Directory/Change Directory

2、查看窗口:View -> Transcript/Project/Library

3、新建工程:File->New->Project ,添加文件夹名称

4、添加源文件和TB文件:Project 右键-> Add to Project. Existing File

5、编译:Project 右键 -> Compile All
如果出现黄色三角形的警告,查看相关文件并修改,重新编译
双击红色的警告,可以看到.v文件哪里出现问题

6、仿真:Simulate -> Start Simulate -> Design -> work
- 选择TB文件,关闭Enable optimization

7、Libraries、SDF(标准延迟文件)

8、添加信号到波形图内:sim-Default 右键添加Add Wave
某些信号看不到(no data)就重新仿真,最好先添加信号,再进行仿真。
修改Run Length 到适合的时长(例如1us),run运行设置时长
左侧Restart,重置信号。

9、命令行操作:
.main clear 清空命令行
run 1us 波形运行1us

10、ctrl+A:全选信号
ctrl+G:信号排序

1.3 Verilog

1、逻辑值:

  • 0
  • 1
  • X(未知,可能是1/0)
  • Z(高阻态,外部没有激励信号,是一个悬空状态)

2、进制:二进制(d)、八进制(o)、十进制(d)和十六进制(h)

3、数值表示:[数据位宽]'[进制][数]
例子:1’b0 8’d255 16b’1001_1010_0000_1111(下划线不影响程序读取)

4、标识符:定义模块名、端口名、信号名
任意一组字母、数字、$符号和_(下划线)
标识符第一个字符必须是字母或下划线,区分大小写。
不建议大小写混合使用,普通信号建议全部小写,信号命名最好体现信号的含义,简洁清晰易懂
例子:sum、cpu_addr、clk_50、clk_cpu

5、数据类型:寄存器、线网、参数,前两个是真正在数字电路中起作用的
(1)寄存器类型:reg,默认初始值为X
例子:
reg [31:0] delay_cnt;
reg key_reg;
注:reg类型只能在always和initial语句中被幅值。
时序逻辑:always语句带有时钟信号,则该寄存器变量对应为触发器;
组合逻辑:always语句不带有时钟信号,则该寄存器变量对应为硬件连线;
(2)线网类型:表示结构实体之间的物理连线,此变量不能存储值,它的值由驱动它的元件所决定。
驱动线网类型变量的元件有门、连续幅值语句、assign。
如果没有驱动元件连接到线网上,线网为高阻态z。
例子:
wire key_flag;
(3)参数类型:常量,用parameter定义常量。
例子:
parameter H_SYNC = 11’d41; //行同步
parameter H_BACK = 11’b2; //行显示后沿
parameter H_DISP = 11’d480; //行有效数据
parameter H_FRONT = 11’d2; //行显示前沿
parameter H_TOTAL = 11’d525; //行扫描周期

参数型数据常用于定义状态机的状态、数据的位宽和延迟大小。
标识符、参数传递

6、运算符:算数运算符(+ - * / %)、关系运算符(> < >= <= == !=)、逻辑运算符(! && ||)、
条件运算符(? : ,例子:a?b:c,a为真,选择b,否则选择c)、位运算符(~ & | ^)、
移位运算符(<< >> ,例子:8’b11110000 >>2 = 2’b00111100,0填充)、拼接运算符({})
有优先级,用括号!

7、注释方式: // 和 /* */

8、关键字
变量名不能与关键字同名

9、框架:
(1)模块block(包括接口和逻辑功能)
例子:

module block(a,b,c,d);
	input a,b;
	output c,d;
	
	assign c = a | b;
	assign d = a & b;
endmodule

每个verilog程序包括:端口定义、IO说明、内部信号声明、功能定义。

注意:有可以综合的语句和不可综合的语句(仿真)

(2)可综合的语句:
assign、always、例化实例元件,这三种逻辑功能是并行的。
(2-1)在always块中,逻辑是顺序执行的。
而多个always块之间是并行的。
(2-2)模块调用:信号通过模块端口在模块之间传递。
例子:
文件seg_led_static_top.v:

module seg_led_static_top(
	input		sys_clk,
	input		sys_rst_n,
	
	output	[5:0]	sel,
	output	[7:0]	seg_led
);
	parameter	TIME_SHOW = 25'd25000_000;
	wire		add_flag;
	//模块调用1:
	time_count #(
		.MAX_NUM	(TIME_SHOW)		//参数传递
	) u_time_count(
		.clk		(sys_clk),
		.rst_n		(sys_rst_n),
		.flag		(add_flag)
	);
	//模块调用2:必须按照模块定义顺序列写(不推荐)
	time_count #(
		.MAX_NUM	(TIME_SHOW)		//参数传递
	) u_time_count(
		sys_clk,
		sys_rst_n,
		add_flag
	);
endmodule

其他文件 time_cout.v:

module time_count(
	input		clk,
	input		rst_n,
	output	reg	flag
);

parameter	MAX_NUM = 50000_00;
reg	[24:0]	cnt;

10、结构语句:
(1)initial:在模块中只执行一次。常用来写测试文件,产生仿真测试信号(激励信号)和对存储器赋初始值。
例子:

initial begin
	sys_clk		<= 1'b0;
	sys_rst_n 	<= 1'b0;
	touch_key 	<= 1'b0;
	#20 sys_rst_n <= 1'b0;
end

(2)always:不断重复活动,但是只有和一定的时间控制结合在一起才有作用。
例子:

always #10 sys_clk = ~sys_clk;

always的时间控制有:边沿触发,电平触发
可以是单个信号,也可以是多个信号(用or连接)
例子:

always @(posedge sys_clk or negedge sys_rst_n) begin		//敏感列表
	if (!sys_rst_n)
		counter <= 24'd0;
	else if(counter < 24'd1000_0000)
		counter <= counter + 1'b1;
	else
		counter <= 24'd0;
end

边沿触发(posedge,negedge)的always常常描述时序逻辑行为。使用非阻塞幅值<=
电平触达的always常常描述组合逻辑行为。使用阻塞幅值=
例子:

always @(a or b or c or d or e) begin
	out = a ?(b+c):(d + e);
end

可以用*代表所有变量,@(*)对后面语句块所有输入变量的变化都是敏感的!

always @(*) begin
	out = a ?(b+c):(d + e);
end

组合逻辑没有CLK信号,时序逻辑有CLK信号,具备记忆功能。
注意:
(1)不允许在多各always块中对同一个变量进行幅值
(2)在同一个always块中不要既用非阻塞幅值又用阻塞赋值

11、条件语句:
(1)if

if (a > b)
	out = data_1;

(2)if else

if (a > b)
	out = data_1;
else
	out = data_2;

(3)if else嵌套:

if (fx1)
	out = data_1;
else if(fx2)
	out = data_2;
else if(fx3)
	out = data_3;
else
	out = data_4;

(4)使用begin和end包含多个语句:

if (a) begin
	语句1;
	语句2;
end
else begin
	语句1;
	语句2;
end

判断表达式的值:若为0,x,z,按照假进行处理,若为1,按照真处理。

(5)case语句:
casez:比较时,不考虑表达式中的高阻态z。
casex:比较时,不考虑高阻态z和不定值x
例子:

case(num)
	4'h0	:	seg_led <= 8'b1111_0000;
	4'h1	:	seg_led <= 8'b0000_0000;
	default	:	seg_led <= 8'b1111_1111;
endcase

注意:num和n’hx必须位宽相等。

casex(sel)
	8'b1100_zzzz	:	语句1;
	8'b1100_xxzz	:	语句2;
endcase

1.4 状态机

例子:利用FPGA实现电子门锁。
序列检测器
1、状态机(FSM):在有限个状态之间按一定规律转换的时序电路

2、模型:
(1)mealy状态机:输出与输入信号和当前状态有关。
组合逻辑F->状态寄存器->组合逻辑G
(2)moore状态机:输出只与当前状态有关。

3、状态机设计:
(1)步骤:状态空间定义,状态跳转,下个状态判断,各个状态下的动作。
例子:

/*   part1:状态空间定义    */
//define state space
parameter	SLEEP	=	2'b00;
parameter	STUDY	=	2'b01;
parameter	EAT		=	2'b10;
parameter	AMUSE	=	2'b11;
//internal variable
reg	[1:0]	current_state;
reg	[1:0]	next_state;

//独热码:每个状态只有一个寄存器置位,译码逻辑简单,生成的电路简单。
parameter	SLEEP	=	4'b0001;
parameter	STUDY	=	4'b0010;
parameter	EAT		=	4'b0100;
parameter	AMUSE	=	4'b1000;
//internal variable
reg	[3:0]	current_state;
reg	[3:0]	next_state;

/*   part2:状态跳转   */
//transition
always @(posedge clk or negedge rst_n) begin		//敏感列表:时钟信号以及复位信号边沿的组合
	if(!rst_n)
		current_state <= SLEEP;
	else
		current_state <= next_state;				//使用非阻塞赋值
end

/*   part3:下个状态判断(组合逻辑)   */
//next state decision
always @(current_state or input_signals) begin
	case (current_state)
		SLEEP	:begin
				if (clock_alarm)
					next_state = STUDY;
				else
					next_state = SLEEP;
		end
		STUDY	:begin
				if (lunch_time)
					next_state = EAT;
				else
					next_state = STUDY;
		end
		EAT		:begin
				if (lunch_time)
					next_state = EAT;
				else
					next_state = STUDY;
		end
		AMUSE	:begin
				if (lunch_time)
					next_state = EAT;
				else
					next_state = STUDY;
		end
		default:begin
				if (lunch_time)
					next_state = EAT;
				else
					next_state = STUDY;
		end
	endcase
end

注意:
(1)组合逻辑使用阻塞赋值
(2)if/else要配对以免产生latch(锁存器),case的状态如果没有给完全,必须要给default,否则也会生成latch

/*   part4:各个状态下的动作(组合逻辑)   */
//action
wire read_book;
assign read_book = (current_state == STUDY) ? 1'b1 : 1'b0;

always @(current_state) begin
	if(current_state == STUDY)
		read_book = 1'b1;
	else
		read_book = 1'b0;
end

注意:组合逻辑使用阻塞赋值

一个三段式状态机例子:divider7_fsm.v
三段式可以在组合逻辑后再增加一级寄存器(时序逻辑,有clk信号输入)来实现时序逻辑输出:
(1)可以有效滤除组合逻辑输出的毛刺;
(2)可以有效地进行时序计算和约束;
(3)对总线形式的输出信号来说,容易使总线数据对齐,从而减小总线数据间的偏移,减小接收端数据采样出错的频率。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/599837.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

2009-2022年上市公司华证ESG评级评分数据(含细分项)

2009-2022年上市公司华证ESG评级评分数据&#xff08;含细分项&#xff09; 1、时间&#xff1a;2009-2022年 2、来源&#xff1a;华证ESG 3、指标&#xff1a;证券代码、证券简称、综合评级、年度、综合得分、E评级、E得分、S评级、S得分、G评级、G得分 4、范围&#xff1…

AI伦理和安全风险管理终极指南

人工智能&#xff08;AI&#xff09;正在迅速改变各个领域的软件开发和部署。驱动这一转变的两个关键群体为人工智能开发者和人工智能集成商。开发人员处于创建基础人工智能技术的最前沿&#xff0c;包括生成式人工智能&#xff08;GenAI&#xff09;模型、自然语言处理&#x…

Python读取ASC文件并转换成Excel文件(坐标)

import pandas as pd# 读取asc文件&#xff0c;指定空格为分隔符 df pd.read_csv(out_view2.asc, sep , headerNone)# 去掉空列 df df.dropna(howall, axis1)# 将数据保存到Excel文件 df.to_excel(out_view2.xlsx, indexFalse, headerFalse)效果图

Day1| Java基础 | 1 面向对象特性

Day1 | Java基础 | 1 面向对象特性 基础补充版Java中的开闭原则面向对象继承实现继承this和super关键字修饰符Object类和转型子父类初始化顺序 多态一个简单应用在构造方法中调用多态方法多态与向下转型 问题回答版面向对象面向对象的三大特性是什么&#xff1f;多态特性你是怎…

unity华为sdk接入指路指南

目前比较靠谱的几个方案&#xff1a;试过几个仅供参考 温馨提示&#xff1a;最高目前可支持方案到unity2021版本以下&#xff0c;以上请联系华为官方寻求技术支持 Unity集成华为游戏服务SDK方式&#xff08;一&#xff09;&#xff1a;集成Unity官方游戏SDK&#xff1a; 华为…

代码随想录算法训练营第十八天:二叉树的层序遍历(中间放假)

代码随想录算法训练营第十八天&#xff1a;二叉树的层序遍历&#xff08;中间放假&#xff09; ‍ ​​ 102.二叉树的层序遍历 力扣题目链接(opens new window) 给你一个二叉树&#xff0c;请你返回其按 层序遍历 得到的节点值。 &#xff08;即逐层地&#xff0c;从左到右…

速览Coinbase 2024Q1 财报重点:业务全面开花,净利润达11.8亿美元

作者&#xff1a;范佳宝&#xff0c;Odaily 星球日报 近期&#xff0c;Coinbase 发布了其 2024 年第一季度财报。 报告显示&#xff0c;Coinbase 第一季度营收为 16.4 亿美元&#xff0c;高于分析师平均预期的 13.4 亿美元&#xff1b;净利润为 11.8 亿美元&#xff0c;合每股…

关于Centos 7/8 网络设置 与工具连接

网络三步曲的配置 1、首先更改虚拟机的网络配置 查看子网地址以及网关 如果有要求需要更改IP地址&#xff0c;规定第三位是指定数值&#xff0c;那么需要全部更改 例如&#xff0c;IP地址为192.168.200.30 其中200为重点&#xff0c;更改时为以下步骤 1、点击DHCP设置&#x…

贪吃蛇大作战(C语言--实战项目)

朋友们&#xff01;好久不见。经过一段时间的沉淀&#xff0c;我这篇文章来和大家分享贪吃蛇大作战这个游戏是怎么实现的。 &#xff08;一&#xff09;.贪吃蛇背景了解及效果展示 首先相信贪吃蛇游戏绝对称的上是我们00后的童年&#xff0c;不仅是贪吃蛇还有俄罗斯⽅块&…

找不到模块“vue-router”。你的意思是要将 moduleResolution 选项设置为 node,还是要将别名添加到 paths 选项中?

在tsconfig.app.json中添加&#xff0c;记得一定是 tsconfig.app.json 中&#xff0c;如添加到 tsconfig.node.json 还是会报错的 哈哈哈哈&#xff0c;不瞒你们&#xff0c;我就添加错了&#xff0c;哈哈哈。所以这也算写一个demo提醒自己 "compilerOptions": {&qu…

【牛客】排列计算

原题链接&#xff1a;登录—专业IT笔试面试备考平台_牛客网 目录 1. 题目描述 2. 思路分析 3. 代码实现 1. 题目描述 2. 思路分析 如果直接涂色来计算单点权重&#xff0c;2e5*2e5必然超时。 所以用差分进行优化。 3. 代码实现 #include<bits/stdc.h> using name…

Go语言fmt包深度探索:格式化输入输出的利器

&#x1f525; 个人主页&#xff1a;空白诗 文章目录 &#x1f3ad; 引言一、基础输出函数fmt.Print与fmt.Println&#x1f4cc; fmt.Print&#xff1a;纯粹输出&#xff0c;不带换行&#x1f4cc; fmt.Println&#xff1a;输出后自动添加换行符 二、格式化输出fmt.Printf&…

鸿蒙开发接口Ability框架:【@ohos.application.missionManager (missionManager)】

missionManager missionManager模块提供系统任务管理能力&#xff0c;包括对系统任务执行锁定、解锁、清理、切换到前台等操作。 说明&#xff1a; 本模块首批接口从API version 8开始支持。后续版本的新增接口&#xff0c;采用上角标单独标记接口的起始版本。 导入模块 impo…

“Postman 中文版使用教程:如何切换到中文界面?”

Postman 的很好用的接口测试软件。但是&#xff0c;Postman 默认是英文版的&#xff0c;也不支持在软件内切换为中文版。很多同学的英语并不是很好&#xff0c;看到一堆的英文很是头痛。 今天我们来介绍下&#xff1a;切换到 Postman 中文版的方法。想要学习更多的关于 Postma…

Type-C转音频(USB2.0数据传输)+PD充电芯片乐得瑞LDR6500/LDR6023

LDR6500 USB-C DRP 接口 USB PD 通信芯片概述 Type-C转音频(USB2.0数据传输)PD充电芯片乐得瑞LDR6500LDR6500是乐得瑞科技针对USB Type-C标准中的Bridge设备而开发的USB-C DRP&#xff08;Dual Role Port&#xff0c;双角色端口&#xff09;接口USB PD&#xff08;Power Deliv…

Qt---day2-信号与槽

1、思维导图 2、 拖拽式 源文件 #include "mywidget.h" #include "ui_mywidget.h" MyWidget::MyWidget(QWidget *parent) : QWidget(parent) , ui(new Ui::MyWidget) { ui->setupUi(this); //按钮2 this->btn2new QPushButton("按钮2",th…

LeetCode 面试经典150题 252.会议室

题目&#xff1a;给定一个会议时间安排的数组 intervals &#xff0c;每个会议时间都会包括开始和结束的时间 intervals[i] [starti, endi] &#xff0c;请你判断一个人是否能够参加这里面的全部会议。 思路&#xff1a;因为一个人在同一时刻只能参加一个会议&#xff0c;因此…

选择适用的无尘棉签:保障洁净生产环境下的高效擦拭

随着洁净生产条件的日益普及和无尘级别要求的提高&#xff0c;无尘擦拭用品成为广大用户追捧的必备工具。在这个领域&#xff0c;无尘棉签作为一种高效的擦拭工具&#xff0c;扮演着重要的角色。然而&#xff0c;面对市场上种类繁多的无尘棉签&#xff0c;如何选择最合适的产品…

linux 调试-kdb 调试内核-1

目标&#xff1a;打印bcm2835_spi_transfer_one 是如何从用户空间开始调用的 1. kernel 配置 KDB配置选项 添加 spi 控制器驱动 和 spi 设备驱动 2. 调试流程 调试内核-系统启动之后 1. 开发板进入kdb,等待pc 连接 rootraspberrypi:~# echo "ttyS0,115200"…

Python做自动化测试必知必会思维导图

&#x1f525; 交流讨论&#xff1a;欢迎加入我们一起学习&#xff01; &#x1f525; 资源分享&#xff1a;耗时200小时精选的「软件测试」资料包 &#x1f525; 教程推荐&#xff1a;火遍全网的《软件测试》教程 &#x1f4e2;欢迎点赞 &#x1f44d; 收藏 ⭐留言 &#x1…
最新文章