小梅哥Xilinx FPGA学习笔记18——专用时钟电路 PLL与时钟向导 IP

目录

一:IP核简介(具体可参考野火FPGA文档)

二: 章节导读

三:PLL电路原理

3.1 PLL基本实现框图

3.2 PLL倍频实现

3.3 PLL分频实现

四: 基于 PLL 的多时钟 LED 驱动设计

4.1 配置 Clocking Wizard 核

4.2 led 闪烁控制

4.2.1 LED闪烁代码设计

4.3 顶层模块代码设计

4.4 仿真测试文件

4.5 仿真结果

4.6 管脚约束文件

4.7 上板验证结果


一:IP核简介(具体可参考野火FPGA文档)

IP Intellectual Property)即知识产权, 简言而之,IP 即电 路功能模块。 IP 核在数字电路中常用于比较复杂的功能模块(如 FIFO RAM FIR 滤波 器、 SDRAM 控制器、 PCIE 接口等)设计成参数可修改的模块,让其他用户可以直接调用 这些模块。
IP 核有三种不同的存在形式:

HDL 语言形式---(软核)
        硬件描述语言;可进行参数调整、复用性强;布局、布线灵活;设计周期短、设计投入少
网表形式---(固核)
        完成了综合的功能块;可预布线特定信号或分配特定的布线资源。
版图形式---(硬核)
        硬核是完成提供设计的最终阶段产品-掩膜(Mask);缺乏灵活性、可移植性差;更易于实现IP核的保护。

IP核缺点:

  • IP核往往不能跨平台使用
  • IP核不透明,看不到内部核心代码
  • 定制IP需额外收费

二: 章节导读

       在 verilog 设计中,程序的运行往往都是围绕着时钟展开,越是复杂的设计往往会涉及越多不同的时钟。 而对于开发板来说,通常都只设计有一个晶振, 以 ACZ702 开发板的 PL 端为例,就板载了一个 50MHz 的有源晶振。通过开发板 内部逻辑,虽然能够基于该时钟分频倍频,产生不同频率的时钟,但是这些时 钟往往质量较差,并不适合应用。
       FPGA 厂商为了解决这个问题,会在器件内部加入专用的时钟电路,也就是 我们常说的锁相环(PLL)。通过该专用时钟电路分频倍频产生的时钟,不仅质 量好,精度也会更高。本章我们将带大家学习锁相环的工作机理,并结合 xilinx 提供的 clocking wizard 软核,通过一个简单的应用来带领大家熟悉锁 相环的基础使用方法。   

三:PLL电路原理

       锁相环(PLL Phase-Locked Loop ),是一种反馈控制电路,常常用于利用 外部输入的参考信号控制环路内部振荡信号的频率和相位。锁相环在工作时, 当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,因此得名锁相环。 PLL是最常用的IP核之一,其性能强大,可以对输入到FPGA的时钟信号进行 任意分频、倍频、相位调整、占空比调整,从而输出一个期望时钟。
锁相环通常由 下图 所示的架构组成:

其中,输入分频、输出分频、反馈分频为三个分频计数器,对时钟分频以满足需求。其余四个模块的功能分别如下:
  • 鉴相鉴频器 PFD(Phase Frequency Detector):对输入的基准信号(通常是来自频率稳定的晶振)和反馈回路的信号进行频率的比较,输出一个代表两者相位差异的信号。若相同则输出0 。参考时钟大于对比时钟频率会输出变大的成正比的值,小于就变小的成正比的值。
  • 电荷泵(CP): 根据 PFD 输出的信号,产生对应电压。
  • 环路滤波器 LF(Loop Filter): 用于控制噪声的带宽,滤掉高频噪声, 保留直流部分。
  • 压控振荡器 VCO(Voltage Controlled Oscillator): 根据滤波器输入的电压,输出对应频率的周期信号。环路滤波器输入的电压越大 VCO 输出 的频率越高,进而产生 N 倍于输入时钟的新时钟。
       其中,VCO 输出的时钟经过反馈分频后传回 PFD 这一电路我们称之为 反馈回路 PLL 在工作时,压控振荡器输出的时钟信号在经过反馈回路后输入到 PFD 中, PFD 会将其与输入的基准时钟比较,从而得到二者间的频率和相位差。 频率和相位差会以信号的方式输出,驱动 CP 产生电压,经过低通滤波后转换为 直流脉冲电压,作为 VCO 的控制电压,驱动 VCO 改变输出时钟。输出时钟又 会经由反馈回路,输入到 PFD 与基准时钟对比,如此往复,最终输出稳定的满足需求的时钟。
       因此,PLL 输出的时钟并不是由输入的基准时钟直接分频倍频得来,而是 基于基准时钟,通过内部的震荡电路生成新的时钟,再经由反馈电路将时钟环 回给 PFD ,通过不断将新产生的时钟与基准时钟作比较,最终输出频率和相位 稳定的时钟。 也正是因为如此,在使用 PLL 时,当基准时钟输入进 PLL 之后,我们并不 能立马得到输出时钟,即使得到也不能立马使用。因为此时的时钟还并不稳定, 需要等待一段时间之后,才能得到精确且稳定的时钟。

3.1 PLL基本实现框图

下图中鉴相鉴频器 PFD(Phase Frequency Detector)是用来比较输入参考信号与反馈信号的频率与相位的。最终它们会趋近于相同,及输出为0。

3.2 PLL倍频实现

       从下图可以看出倍频多出了一个DIV倍频环节,如果输入信号是50MHz的频率,因为鉴相鉴频器 PFD的两端最终归趋近于相等,则经过DIV之后的频率会变成50MHz。即如果是2倍频,则pll_out会变成100MHz输出。实现倍频输出。

3.3 PLL分频实现

       从下图可以看出分频多出了一个DIV分频环节放在输入信号那里,如果输入信号是50MHz的频率,如果分频器DIV是5倍分频,则输入PFD的频率是10M,因为鉴相鉴频器 PFD的两端最终归趋近于相等,则经过反馈回路输出的频率也为10MHz。则pll_out会变成10MHz输出。实现5分频输出。

四: 基于 PLL 的多时钟 LED 驱动设计

       本次设计我们将通过 PLL 产生 4 个不同的时钟,这四个时钟分为两个频率,同一频率之间的时钟在相位或占空比上存在差异。通过仿真,对比输出时钟波 形间的关系,验证 PLL 的基础功能。同时,为了验证 PLL 输出的时钟能否稳定 用于其他模块, PLL 输出的时钟还将被用于驱动 LED

4.1 配置 Clocking Wizard

       首先,通过 IP Catalog 为设计添加 clocking wizard 核。为了与大多数使用情况一致,本次设计使用的 PLL 结构,输入时钟使用的 50M 板载晶振,输出时钟 频率为常见的 100MHz 200MHz ,复位类型为低电平复位。因此, IP 核的时钟 配置界面如下图 所示:

为了观察输出时钟相位和占空比的变化,我们分别对 clk_out2 的相位和clk_out4 的占空比进行了修改,以方便仿真时对照波形。

4.2 led 闪烁控制

        基于“视觉暂留”现象,当人眼被中等强度的光刺激以后,人眼看到的图像会短暂停留 0.1~0.4 秒。而如果我们直接使用生成的时钟驱动 led 闪烁,其变 化速率便会远远超过人眼的识别速度,因此,我们需要设计一个分频计数模块, 对输入的时钟分频,控制 LED 的闪烁频率。

4.2.1 LED闪烁代码设计

module led_ctrl(
    input clk,
    input reset_n,
    output reg led
    );
    
    parameter MCNT = 1000_0000;
    
    reg [29:0]cnt;
    //led翻转计数逻辑
    always@(posedge clk or negedge reset_n)
        if(!reset_n)
            cnt <= 0;
        else if(cnt >= MCNT-1)    
            cnt <= 0;
        else 
            cnt <= cnt + 1;
                 
     always@(posedge clk or negedge reset_n)
        if(!reset_n)
            led <= 0;
        else if(cnt >= MCNT-1)    
            led <= ~led;
        else 
            led <= led;       
            
endmodule

4.3 顶层模块代码设计

module pll_led(
    input sys_clk,
    input reset_n,  
    output [3:0]led
);
    
    wire locked            ;
    wire clk_100m          ;
    wire clk_100m_s90      ;
    wire clk_200m          ;
    wire clk_200m_d20      ;
 
    led_ctrl #(
        .MCNT (5000_0000)
    )
    led_ctrl_inst0(
        .clk        (clk_100m),
        .reset_n    (locked),//当locked信号为高电平时方可使用,其输出的才是稳定的时钟信号。
        .led        (led[0])
    );
    
    led_ctrl #(
        .MCNT (5000_0000)
    )
    led_ctrl_inst1(
        .clk        (clk_100m_s90),
        .reset_n    (locked),
        .led        (led[1])
    );
      
    led_ctrl #(
        .MCNT (5000_0000)
    )
    led_ctrl_inst2(
        .clk        (clk_200m),
        .reset_n    (locked),
        .led        (led[2])
    );
    
    led_ctrl #(
        .MCNT (5000_0000)
    )
    led_ctrl_inst3(
       .clk         (clk_200m_d20),
       .reset_n     (locked),
       .led         (led[3])
    );
    
    
      clk_wiz_0 clk_wiz_0_inst
   (
    // Clock out ports
    .clk_100m(clk_100m),     // output clk_100m
    .clk_100m_s90(clk_100m_s90),     // output clk_100m_s90
    .clk_200m(clk_200m),     // output clk_200m
    .clk_200m_d20(clk_200m_d20),     // output clk_200m_d20
    // Status and control signals
    .resetn(reset_n), // input resetn
    .locked(locked),       // output locked
   // Clock in ports
    .sys_clk(sys_clk));      // input sys_clk
endmodule

4.4 仿真测试文件

`timescale 1ns / 1ps
module pll_led_tb();

reg     sys_clk       ;
reg     reset_n       ;
wire    clk_100m      ;
wire    clk_100m_s90  ;
wire    clk_200m      ;
wire    clk_200m_d20  ;
wire    [3:0]led      ;

pll_led pll_led(
    .sys_clk     (sys_clk)  ,
    .reset_n     (reset_n)  ,
    .clk_100m    (clk_100m)  ,
    .clk_100m_s90(clk_100m_s90)  ,
    .clk_200m    (clk_200m)  ,
    .clk_200m_d20(clk_200m_d20)  ,
    .led         (led)
);

//重定义,缩短仿真时间
    defparam pll_led.led_ctrl_inst0.MCNT = 26'd500;
    defparam pll_led.led_ctrl_inst1.MCNT = 26'd500;
    defparam pll_led.led_ctrl_inst2.MCNT = 26'd500; 
    defparam pll_led.led_ctrl_inst3.MCNT = 26'd500;

    initial sys_clk = 1'b1;
    always #10 sys_clk = ~sys_clk;
    initial
    begin
        reset_n = 0;
        #201;
        reset_n = 1;
        #20000; 
        $stop;
    end

endmodule

4.5 仿真结果

4.6 管脚约束文件

set_property IOSTANDARD LVCMOS33 [get_ports reset_n]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property PACKAGE_PIN F20 [get_ports reset_n]
set_property PACKAGE_PIN G17 [get_ports {led[0]}]
set_property PACKAGE_PIN G19 [get_ports {led[1]}]
set_property PACKAGE_PIN G18 [get_ports {led[3]}]
set_property PACKAGE_PIN G20 [get_ports {led[2]}]

4.7 上板验证结果

至此PLL的IP核调用实验完美成功。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/276616.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

[卷积神经网络]FCOS--仅使用卷积的Anchor Free目标检测

项目源码&#xff1a; FCOShttps://github.com/tianzhi0549/FCOS/ 一、概述 作为一种Anchor Free的目标检测网络&#xff0c;FCOS并不依赖锚框&#xff0c;这点类似于YOLOx和CenterNet&#xff0c;但CenterNet的思路是寻找目标的中心点&#xff0c;而FCOS则是寻找每个像素点&…

[每周一更]-(第43期):Golang版本的升级历程

从1.13接触go语言开始更新我们公司内第一个Go项目&#xff0c;直至现在go版本已经发展到1.20&#xff08;20230428&#xff09;&#xff0c;我们从go发版开始认识go语言&#xff0c;有利于我们更深入 了解这门语言&#xff0c;洞悉一些深层方式&#xff0c;加深我们学习的动力&…

Android---Kotlin 学习013

互操作性和可空性 Java 世界里所有对象都可能是 null&#xff0c;而 kotlin 里面不能随便给一个变量赋空值的。所有&#xff0c;kotlin 取调用 java 的代码就很容易出现返回一个 null&#xff0c;而 Kotlin 的接收对象不能为空&#xff0c;你不能想当然地认为 java 的返回值就…

有了向量数据库,我们还需 SQL 数据库吗?

“除了向量数据库外&#xff0c;我是否还需要一个普通的 SQL 数据库&#xff1f;” 这是我们经常被问到的一个问题。如果除了向量数据以外&#xff0c;用户还有其他标量数据信息&#xff0c;那么其业务可能需要在进行语义相似性搜索前先根据某种条件过滤数据&#xff0c;例如&a…

数据仓库-数仓优化小厂实践

一、背景 由于公司规模较小&#xff0c;大数据相关没有实现平台化&#xff0c;相关的架构都是原生的Apache组件&#xff0c;所以集群的维护和优化都需要人工的参与。根据自己的实践整理一些数仓相关的优化。 二、优化 1、简易架构图 2、ODS层优化 2.1 分段式解析 随着业务增长…

GoLang学习之路,对Elasticsearch的使用,一文足以(包括泛型使用思想)(二)

书写上回&#xff0c;上回讲到&#xff0c;Elasticsearch的使用前提即&#xff1a;语法&#xff0c;表结构&#xff0c;使用类型结构等。要学这个必须要看前面这个&#xff1a;GoLang学习之路&#xff0c;对Elasticsearch的使用&#xff0c;一文足以&#xff08;包括泛型使用思…

spring、springmvc、springboot、springcloud简介

spring简介 spring是什么&#xff1f; spring: 春天spring: 轻量级的控制反转和面向切面编程的框架 历史 2002年&#xff0c;首次推出spring雏形&#xff0c;interface 21框架2004年&#xff0c;发布1.0版本Rod Johnson: 创始人&#xff0c;悉尼大学&#xff0c;音乐学博士…

SeaTunnel同步PostgreSQL数据至ClickHouse(1)

ClickHouse简介 ClickHouse最初是为Yandex.Metrica世界第二大Web分析平台而开发的。多年来一直作为该系统的核心组件被该系统持续使用着。目前为止&#xff0c;该系统在ClickHouse中有超过13万亿条记录&#xff0c;并且每天超过200多亿个事件被处理。它允许直接从原始数据中动…

【MATLAB】交叉验证求光滑因子的广义神经网络时序预测算法

有意向获取代码&#xff0c;请转文末观看代码获取方式~也可转原文链接获取~ 1 基本定义 交叉验证求光滑因子的广义神经网络时序预测算法的基本原理如下&#xff1a; 首先&#xff0c;我们需要了解什么是交叉验证和光滑因子。交叉验证是一种评估模型性能的常用方法&#xff0c…

Spring高手之路-Spring事务的传播机制(行为、特性)

目录 含义 七种事务传播机制 1.REQUIRED&#xff08;默认&#xff09; 2.REQUIRES_NEW 3.SUPPORTS 4.NOT_SUPPORTED 5.MANDATORY 6.NEVER 7.NESTED 含义 事务的传播特性指的是当一个事务方法被另一个事务方法调用时&#xff0c;这个事务方法应该如何进行&#xff1f; 七…

Docker安装Grafana

1. 介绍 Grafana 是一个开源的度量分析和可视化工具&#xff0c;可以通过将采集的数据分析、查询&#xff0c;然后进行可视化的展示&#xff0c;并能实现报警。参考官网地址&#xff1a;Run Grafana Docker image | Grafana documentation 2. 安装Grafana (1) . 下载 命令&…

Python中JSON模块的使用

1 JSON简介 JSON是JavaScript Object Notation即Javascript对象简谱的缩写。JSON是一种轻量级的数据交换格式&#xff0c;JSON数据是由键值对组成的结构&#xff0c;与Python中的字典类似&#xff0c;由尖括号包围的键值对组成&#xff0c;键和值的类型可以是字符串、数字、布…

elasticsearch系列六:索引重建

概述 我们再起初创建索引的时候由于数据量、业务增长量都并不大&#xff0c;常常不需要搞那么多分片或者说某些字段的类型随着业务的变化&#xff0c;已经不太满足未来需求了&#xff0c;再或者由于集群上面索引分布不均匀导致节点直接容量差异较大等等这些情况&#xff0c;此时…

Spark编程范例:Word Count示例解析

Apache Spark是一个强大的分布式计算框架&#xff0c;用于处理大规模数据。Word Count示例是Spark入门教程中的经典示例&#xff0c;旨在展示如何使用Spark来进行简单的文本处理和数据分析。本文将深入解析Word Count示例&#xff0c;以帮助大家更好地理解Spark的基本概念和编程…

【12.28】转行小白历险记-刷算法04

01两两交换链表中的节点 整体思路 1.要修改后一个节点的指向一定要知道前一个节点的指向才可以改变后面一个节点的 2.分情况奇数和偶数节点&#xff0c;终止条件很重要 3.虚拟头节点&#xff0c;是对我们操作的指针是不是头节点进行判断 02删除链表的倒数第N个节点 思路 …

低成本高效率易部署,Ruff工业数采网关+IoT云平台赋能工厂数字化管理

随着工业4.0的快速发展&#xff0c;工业物联网是工业企业实现数字化转型的重要助力&#xff0c;物联网技术的应用也越来越广泛。 作为连接设备与网络的关键节点&#xff0c;数据采集网关是连接工业设备与物联网平台的硬件设备&#xff0c;它负责将工业设备的数据采集、传输到物…

idea Spring Boot项目使用JPA创建与数据库链接

1.pom.xml文件中添加依赖 <dependency><groupId>org.springframework.boot</groupId><artifactId>spring-boot-starter-data-jpa</artifactId></dependency><dependency><groupId>com.mysql</groupId><artifactId>…

c语言:求最小公倍数|练习题

一、题目 输入两个数&#xff0c;求两数的最小公倍数。 如图&#xff1a; 二、思路分析 1、先知道两个数里的最小值(比如&#xff1a;9和6&#xff0c;取6) 2、用2到6&#xff0c;5个数&#xff0c;同时除以9和6,得最小公约数&#xff1a;3 3、用9除33&#xff0c;6除32。得最小…

GitHub教程-自定义个人页制作

GitHub是全球最大的代码托管平台&#xff0c;除了存放代码&#xff0c;它还允许用户个性化定制自己的主页&#xff0c;展示个人特色、技能和项目。本教程旨在向GitHub用户展示如何制作个性化主页&#xff0c;同时&#xff0c;介绍了GitHub Actions的应用&#xff0c;可以自动化…

市场复盘总结 20231228

仅用于记录当天的市场情况&#xff0c;用于统计交易策略的适用情况&#xff0c;以便程序回测 短线核心&#xff1a;不参与任何级别的调整 昨日回顾&#xff1a; -- 今日 select * from ( SELECT top 100CODE,20231228 日期,成交额排名,净流入排名,代码,名称,DDE大单金额,涨幅,…